最新VHDL程序基本结构教学讲义PPT课件.ppt

最新VHDL程序基本结构教学讲义PPT课件.ppt

ID:62260476

大小:1.23 MB

页数:45页

时间:2021-04-24

最新VHDL程序基本结构教学讲义PPT课件.ppt_第1页
最新VHDL程序基本结构教学讲义PPT课件.ppt_第2页
最新VHDL程序基本结构教学讲义PPT课件.ppt_第3页
最新VHDL程序基本结构教学讲义PPT课件.ppt_第4页
最新VHDL程序基本结构教学讲义PPT课件.ppt_第5页
资源描述:

《最新VHDL程序基本结构教学讲义PPT课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL程序基本结构(1)库、程序包使用说明:用于打开(调用)本设计实体将要用到的库、程序包;程序包存放各个设计模块共享的数据类型、常数和子程序等;库是专门存放预编译程序包的地方。(2)实体:用于描述所设计的系统的外部接口信号,是可视部分;(3)结构体:用于描述系统内部的结构和行为,建立输入和输出之间的关系,是不可视部分。(4)配置说明语句:主要用于以层次化的方式对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。实体(ENTITY)是一个设计实体的表层设计单元,其功能是对这个设计实体与外部电路进行接口描述。它规定了设计单元的输入输出接口信号或引脚,是设计实体经封装后对外的一

2、个通信界面。1.实体语句结构实体说明单元的常用语句结构如下:ENTITY实体名IS[GENERIC(类属表);][PORT(端口表);]END[ENTITY]实体名;2.2.1实体实体端口说明的一般书写格式如下:PORT(端口名:端口模式数据类型;{端口名:端口模式数据类型});端口名是设计者为实体的每一个对外通道(系统引脚)所取的名字,一般用几个英文字母组成;端口模式(端口方向)是指这些通道上的数据流动方式,即定义引脚是输入还是输出;数据类型是指端口上流动的数据的表达格式。3.PORT端口说明图2.5端口模式符号图IEEE1076标准包中定义了4种常用的端口模式,各端口模式的功能及符

3、号分别见表2.1和图2.5。表2.1端口模式说明PORT(n0,n1,select:INBIT;q:OUTBIT;bus:OUTBIT_VECTOR(7DOWNTO0));【例2.3】端口模式及数据类型定义说明:n0,n1,select是输入引脚,属于BIT型;q是输出引脚,BIT型;bus是一组8位二进制总线,属于BIT_VECTOR。LIBRARYIEEE;USEIEEE.STD_LOGIC.1164.ALL;ENTITYmmISPORT(n0,n1,select:INSTD_LOGIC;Q:OUTSTD_LOGIC;Bus:OUTSTD_LOGIC_VECTOR(7DOWNTO0

4、));ENDENTITYmm;【例2.4】端口模式及IEEE库数据类型定义例中端口数据类型取自IEEE标准库(该库中有数据类型和函数的说明),其中STD_LOGIC取值为“0”,“1”,“X”和“Z”。因为使用了库,所以在实体说明前要增加库说明语句。2.2.2结构体结构体(ARCHITECTURE)是设计实体的一个重要部分,结构体将具体实现一个实体。结构体不能单独存在,它必须有一个界面说明,即一个实体。对于具有多个结构体的实体,必须用CONFIGURATION配置语句指明用于综合的结构体和用于仿真的结构体,即在综合后的可映射于硬件电路的设计实体中,一个实体只对应一个结构体。在电路中,如

5、果实体代表一个器件符号,则结构体描述了这个符号的内部行为。ARCHITECTURE结构体名OF实体名IS[说明语句]--内部信号,常数,数据类型,函数等的定义BEGIN[功能描述语句]END[ARCHITECTURE][结构体名];1.结构体语句格式ENTITYmuxISPORT(a0,a1:INBIT;Sel:INBIT;Sh:OUTBIT);ENDmux;ARCHITECTUREdataflowOFmuxISBEGINsh<=(a0ANDsel)OR(NOTselANDa1);ENDdataflow;【例2.5】结构体描述结构体中的说明语句是对结构体的功能描述语句中将要用到的信号(

6、SIGNAL)、数据类型(TYPE)、常数(CONSTANT)、元件(COMPONENT)、函数(FUNCTION)和过程(PROCEDURE)等加以说明的语句。在一个结构体中说明和定义的数据类型、常数、元件、函数和过程只能用于这个结构体中,若希望其能用于其他的实体或结构体中,则需要将其作为程序包来处理。2.结构体说明语句3.功能描述语句描述设计实体的具体行为,它包含两类语句:(1)并行语句:并行语句总是在进程语句(PROCESS)的外部,语句的执行与书写顺序无关,总是同时被执行。(2)顺序语句:顺序语句总是在进程语句(PROCESS)的内部,该语句是顺序执行的。一个结构体可以包含几个

7、类型的子结构描述:BLOCK(块)描述、PROCESS(进程)描述、SUNPROGRAMS(子程序)描述。块语句是由一系列并行执行语句构成的组合体,它的功能是将结构体中的并行语句组成一个或多个模块。进程语句定义顺序语句模块,用于将从外部获得的信号值,或内部的运算数据向其他的信号进行赋值。子程序调用语句用于调用一个已设计好的子程序。信号赋值语句将设计实体内的处理结果向定义的信号或界面端口进行赋值。元件例化语句对其他的设计实体作元件调用说明。使用B

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。