多路信号复用的基带系统的建模与设计

多路信号复用的基带系统的建模与设计

ID:8527005

大小:283.00 KB

页数:9页

时间:2018-03-31

多路信号复用的基带系统的建模与设计_第1页
多路信号复用的基带系统的建模与设计_第2页
多路信号复用的基带系统的建模与设计_第3页
多路信号复用的基带系统的建模与设计_第4页
多路信号复用的基带系统的建模与设计_第5页
资源描述:

《多路信号复用的基带系统的建模与设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、多路信号复用的基带系统的建模与设计摘要:在通信系统中,为了提高信道的利用率,采用多路复用技术能把多个信号组合起来在一条物理信道上进行传输,在远距离传输时可大大节省电缆的安装和维护费用,在数字通信系统中主要采用时分多路复用(TDM)方式。并将复用信号进行HDB3码转换以利于在信道中传输。关键词:时分复用;模型;原理;模块建模前言在数字通信中,为了扩大传输容量和提高传输效率,通常需要将若干个低速数字码流按一定格式合并成一个高速数据码流,以便在高速宽带信道中传输。数字复接就是依据时分复用基本原理完成数码合并的一种技术,并且是数字通信中的一项

2、基础技术。当今社会是数字话的社会,数字集成电路应用广泛。而在以往的PDH复接电路中,系统的许多部分采用的是模拟电路,依次有很大的局限性。随着微电子技术的发展,出现了现场可编辑逻辑器件(PLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。本文就是用硬件描述语言等软件与技术来实现一个基于CPLD/FPGA的简单数字同步复接系统的设计。在通信系统中,为了提高信道的利用率,使多路信号在同一条信道上传输时互相不产生干扰的方式叫做多路复用。在数字通信系统中主要采用时分多路复用(TDM)方式,把时间划分为若干

3、时隙,让多路数字信号的每一路占用不同的时隙,即多路信号在不同的时间内被传送,各路信号在时域中互不重叠。1.多路信号复用的基带系统的设计时分多路复用(TDM)是按传输信号的时间进行分割的,它使不同的信号在不同的时间内传送,将整个传输时间分为许多时间间隔,每个时间片被一路信号占用。TDM就是通过在时间上交叉发送每一路信号的一部分来实现一条电路传送多路信号的。电路上的每一短暂时刻只有一路信号存在。因数字信号是有限个离散值,所以TDM技术广泛应用于数字通信系统。多路信号复用的基带系统中的发信设备由数字信源与复接器、码型变换器等组成,其中数字信

4、号包括晶振、分频和内部基带码产生等;系统的接收设备由码型逆变换与时钟提取电路、帧同步信号提取、数字终端与分接器等。数字终端与分解器逆变换与时钟提取数字信源与复接器S1(t)S1(t)帧同步提取码型变换器S3(t)信道S4(t)S4(t)S3(t)S2(t)S2(t)图1多路复用数字基带传输系统组成框图当前,根据国际电报电话咨询委员会(CCITT)建议,目前TDM采用两种标准系列:一种是欧洲和我们国家所采用的30路系列,即由32个话路组成一个PCM基群,如图2所示;另一种是北美和日本等国所采用的24路体系,即由24个话路组成一个PCM基

5、群。f0TS0TS1f00tf………TS2TS16TS30TS31125us图2.PCM30/32路基群系统的帧结构在PCM30/32系统中,抽样频率为8kHz,抽样周期Ts=1/8000=125us,被称为一个帧周期。每个抽样值用8比特表示,所占用的时间tc=125/32=3.9us,被称为一个路时隙。每个比特所占用的时间为tb=3.9/8=0.488us,总码速率为fb=1/0.488=2048kb/s。图1.3给出了PCM30/32路(基群)路制式帧结构,从图中可以看出1个复帧中有16个子帧(编号为F0,F1,…,F15),其中

6、F0,F2,,F14为偶帧,F1,F3,…,F15为奇帧,一帧分为32个路时隙,分别用TS0~TS31表示,其中TS0作为帧同步时隙,用来传送帧同步码组和帧失步对告码,TS16用来传送复帧同步信号,复帧失步对告及各路信道信号,另外30路时隙用来传送30路话音信号,每个时隙可以插入8位二进制信息码(即每时隙含8b信息码,由PCM编码器完成),以上的帧构成PCM30/32路基群系统。图3.PCM30/32路(基群)路制式帧结构2.时分多路复用信号的产生模型多路信号复用的模型它包括了分频器、内码产生器、时序信号发生器及复用输出电路等功能模块

7、。晶振输出信号送给分频器分频后得到低频信号作为内码产生器的时钟信号;每个内码产生器用于产生8位数据码且为串行输出,作为内部分路数据信号,其串行数据码输出受到时序信号的控制;时序发生器的功能是产生四路宽度为8位数据码宽度的时序信号,每路时序信号的相对相位延迟按规定顺序为8位数据码宽度:输出电路的功能是将四路分路码组合成一路完整的复用信号。复用信号输出时钟分频器内码控制器内码产生器内码产生器内码产生器内码产生器输出电路时序发生器图4.四路复用器的VHDL建模框图2.1.各功能模块的VHDL建模与程序设计1.分频器:分频器实际是一个4位二进

8、制计数器,其作用是将晶体振荡电路产生的方波信号进行分频。图5.分频器模型图中A表示16分频输出,B表示8分频输出,C表示4分频输出,D表示2分频输出图6.分频器时序仿真图2.时序发生器:时序发生器用于产生四路宽度为8位数

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。