基于fpga数据采集系统

基于fpga数据采集系统

ID:871561

大小:578.04 KB

页数:15页

时间:2017-09-22

基于fpga数据采集系统_第1页
基于fpga数据采集系统_第2页
基于fpga数据采集系统_第3页
基于fpga数据采集系统_第4页
基于fpga数据采集系统_第5页
资源描述:

《基于fpga数据采集系统》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA数据采集系统一.引言传统的数据采集系统,通常采用单片机或DSP作为主要控制模块,控制ADC、存储器和其他外围电路的工作。随着数据采集对速度性能的要求越来越高,传统采集系统的弊端就越来越明显。单片机的时钟频率较低且需用软件实现数据采集,这使得采集速度和效率降低,此外软件运行时间在整个采样时间中也占很大的比例。而FPGA(现场可编程门阵列)有单片机无法比拟的优势。FPGA时钟频率高,内部延时小,全部控制逻辑由硬件完成,速度快、效率高。在此技术基础上,为了满足数据采集对速度的要求,本文就着重介绍了一种基于FPGA,采用VHDL硬件描述语言

2、设计实现的高速数据采集系统。二.设计思路本设计采用FPGA器件EP1C12Q240C8实现对高速A/D转换芯片ADC08200的采样控制,解决了传统方法的速度问题。使用VHDL语言采用电路结构图为顶层向下的方法;结合FIFO存储器的设计实现了高速A/D采集转换和转换后数据存储,并给出了采样系统框图及FPGA内部设计框图。图1系统框图图2FPGA内部结构一.硬件设计对A/D转换器进行采样控制,传统方法一般是用CPU或单片机完成的。其优点是编程简单、控制灵活,但缺点是控制周期长、速度慢,例如MCS51系列单片机最高时钟频率为12MHZ,AT89C2

3、051单片机为24MHZ,这样当A/D本身的采样速度比较快时,CPU或单片机的慢速工作时序将极大地限制A/D高速性能的利用。当采用FPGA对其进行控制时,由于FPGA的时钟频率可达100MHZ以上,从而可实现数据的高速采集,还可以把采样数据实时存入FPGA内部的高速RAM中。本设计是利用FPGA直接控制高速ADC08200对模拟信号进行采样,采集速度可达200MS/s,然后将转换好的8位二进制数据迅速存储到FPGA内部的FIFO存储器中。在完成对模拟信号一个周期的采样后,由外部电路系统将存储器中的采样数据读出处理。采用自顶向下的设计方法可将本设

4、计分为控制器模块和FIFO缓冲模块。1.系统的模块设计与功能仿真ad转换模块与高速存储模块结合调理电路与数据处理电路就构成了一个完整的系统。调理电路和模拟信号经由a/d转换器adc08200转换模块后变为数字信号,传给同样由fpga控制的先进先出存储器fifo这样就弥补了由单片机控制带来的速度低的缺点.fpga存储的数字信号可由单片机系统来读取和处理.在quartus2平台下使用原理图输入方式可以使设计得到简化.系统的连接图如图4所示.其中clk为时钟信号;rst为复位信号;d【7...0】转换后的数字信号,接a/d的输出;wr/rd为读写控制

5、;rdclk为读时钟;aclr为清零信号;adclk接a/d转换器的时钟clk;adpd接adc08200的pd;wrfull;rdempty为写满,读空显示信号;q{7...0}为输出数据.2.控制器模块设计2.1ADC08200芯片功能介绍ADC08200是美国国家半导体公司生产的单通道、低功耗、高速8位模数转换器。它具有成本低、功耗低、体积小和易于使用等优点。最高采样频率达200MS/s。在掉电模式下ADC08200仅消耗1mw。独特的结构在50MHZ标准信号的输入情况下可达到7.3有效采样位。单电源3v或2.5v的供电,内带高质量参考源

6、和高性能采样保持电路。主要端口:Clk:时钟输入端;Vin模拟信号输入端;PD:掉电保护,当PD为低时,D0-D7正常输出,当PD为高时,D0-D7输出保持;D0-D7:数据输出端口。D0为数据最低位,D7为最高位;图3为ADC08200的工作时序图和外围接口电路图。图3ADC08200接口电路图2.2基于VHDL控制器设计VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述。基于这种描述,结合相关的软件工具,可以得到所期望的实际电路与系统。根据ADC08200的特点,可通过硬件描述语言设计一个状态机,最后下载到FPGA芯片上,通过

7、FPGA芯片控制ADC08200的工件。时钟由外部引入,由分频模块得到想要的时钟。设计过程为编写VHDL代码,然后在QuartusII平台下进行编译,仿真。最后下载到FPGA芯片中。2.3高速存储模块的设计FIFO是一种存储器参数可设置模块库,在高速数字系统中常用作缓存。在高速数据传输和实时显示领域中,需要对数据进行快速储存和发送,要实现快速的数据采集、顺序储存和传送,传统的RAM型存储器已经无法满足要求。目前许多高速系统都采用FIFO作为缓存体。因为FIFO的写入(读出)时间只需要一个时钟周期,不需要对地址进行加1操作,大大提高了存储速度。利

8、用LPM中的宏功能块LPM_FIFO,在QuartusII平台下定制一个高速的先进先出FIFO根据需要对所使用的宏功能块的参数进行适当调整,由此生成一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。