基于FPGA的DDS函数发生器的设计与实现.pdf

基于FPGA的DDS函数发生器的设计与实现.pdf

ID:52970215

大小:293.75 KB

页数:4页

时间:2020-04-05

基于FPGA的DDS函数发生器的设计与实现.pdf_第1页
基于FPGA的DDS函数发生器的设计与实现.pdf_第2页
基于FPGA的DDS函数发生器的设计与实现.pdf_第3页
基于FPGA的DDS函数发生器的设计与实现.pdf_第4页
资源描述:

《基于FPGA的DDS函数发生器的设计与实现.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、·信息技术·徐国栋,等·基于FPGA的DDS函数发生器的设计与实现基于FPGA的DDS函数发生器的设计与实现徐国栋。,陶安利,徐士敏,骆科学(1.山东科技大学信息与电气工程学院。山东青岛266510;2.煤科总院常州自动化研究院,江苏常州213015)摘要:论述了利用FPGA的系统级设计工具DSPBuilder开发DDS函数发生器的总体设计思路,讨论了改变输出信号频率、幅度、相位的设计方法。系统基于Ahera公司的Cyclone系列FPGA,配合SiliconLabs公司高性能C8051F340单

2、片机实现,给出了系统的软件仿真结果并完成了整个系统的硬件验证。结果证明了设计的正确性,同时表明采用DSPBuilder使DDS任意函数发生器的FPGA硬件实现更加简单,速度更快。关键词:现场可编程逻辑门阵列;直接数字频率合成器;数字信号处理器编码程序;QuartusⅡ中图分类号:TH12;TP3I1文献标志码:B文章编号:1671-5276(2010)05-0091-04DesignandImplementationofDDSFunctionGeneratorBasedonFPGAXUGuo.do

3、ng.TA0An—li.XUShi—min.LU0Ke—xue(1.ColegeofInformationandElectricalEngineering,ShandongUniversityofScienceandTechnology,Qingdao266510,China;2.ChangzhouAutomationResearchInstituteofChinaCoalResearchInstitute,Changzhou213015,China)Abstract:Inthispaper,th

4、edesignconsiderationsofaDDS(DirectDigitalSynthesizer)functiongeneratorwithDSPBuilder,inclu-dingthemethodofchangingfrequency,amplitudeandphaseofoutputsignal,areintroduced.ThissystemismainlycontrolledbyFP-GAofAlter,anditisalsoassistedbymicro-processorC8

5、051F340.Theresultsofsoftwaresimulationofthesystemaregivenandhardwareverificationofthewholesystemiscompleted.Theresultsprovethatthedesigniscorrect,andshowthattheuseofDSPBuilderissimplerandfastertoimplementtheDDSfunctiongenerator.Keywords:FPGA;DDS;DSPbu

6、ilder;Qua~us11发生器的系统结构如图1所示,主要由单片机、DDS模型、0前言信号放大和程控滤波电路构成。由FPGA和D/A实现的DDS模型是整个DDS函数发生器的核心。DDS模型中的DDS(directdigitalsynthesizer)技术是一种从相位概FPGA部分主要采用DSPBuilder实现,转换成VHDL后,念出发直接合成所需波形的一种新的全数字频率合成技采用软件Quartusll对其进行仿真并完成硬件验证。术。具有较高的频率分辨率,可以实现快速的频率切换,并且在频率改变时

7、能够保持相位的连续,很容易实现频1DDS函数发生器原理率、相位及幅度的数控调制。目前,各大芯片制造厂商都相继推出了高性能、多功能DDS芯片,为电路设计提供了DDS是一种新型的频率合成技术,基本原理是用全多种选择。专用DDS芯片固定的控制方式使其在工作方数字技术,从相位概念产生频率、相位和幅度可以控制的式、频率控制等方面与实际系统的要求差距较大,针对这信号。DDS模型主要由相位累加器、相位调制器、幅度调种情况,可以采用FPGA来设计符合用户系统需要的制器、锁相环倍频、ROM和D/A转换器构成。DDS

8、,较好的解决了专用DDS灵活性较差的问题。通常,1.1相位累加器的设计DDS的FPGA实现是用VHDL或VerilogHDL等硬件描述语言通过编写底层代码来实现,这种方式效率低、难度大。相位累加器的输入称为频率控制字输入。由于相位利用Allera公司FPGA的DSP开发工具DSPBuildcr设计累加器字长的限制,相位累加器累加到一定数值后,其输DDS,比基于硬件描述语言的设计周期更短、更容易。出将会溢出,波形数据地址就会循环一次,这意味着输出现以基于现场可编程逻辑门阵列FPGA器

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。