fpga设计实践课程设计报告打铃系统

fpga设计实践课程设计报告打铃系统

ID:11350717

大小:489.50 KB

页数:23页

时间:2018-07-11

fpga设计实践课程设计报告打铃系统_第1页
fpga设计实践课程设计报告打铃系统_第2页
fpga设计实践课程设计报告打铃系统_第3页
fpga设计实践课程设计报告打铃系统_第4页
fpga设计实践课程设计报告打铃系统_第5页
资源描述:

《fpga设计实践课程设计报告打铃系统》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、上海电力学院课程设计报告课程设计名称:FPGA设计实践课程设计设计课题名称:自动打铃系统班级:2007142指导老师:赵倩姓名:徐晓敏学号:20072702成绩:设计时间:2010.7.5.~2010.7.8设计地点:实验室303-23-计算机信息与工程学院自动打铃系统报告一、设计目的:使用QuartusII完成自动打铃系统的设计二、实验器材和工具软件:Pc机使用QuartusII系统De2平台三、设计内容:1.基本计时和现实功能(用12小时制显示):包括上下午标志,时、分的数字显示,秒信号显示。2.可以自由设置当前时间(包含上、下午,时、分)。3.可以实

2、现基本的打铃功能,规定:上午06:00起床铃,打铃5s,停2s,再打铃5s;下午10:30熄灯铃,打铃5s,停2s,再打铃5s。铃声可以用LED灯光显示,如果实验装置没有LED发光管,那么可以用七段显示管的小数点显示,也可以用显示小时的十位数码管的多余段显示。凡是用到铃声功能的均可以如此处理。4.增加整点报时功能,整点时响铃5s。要求有控制启动和关闭功能。5.增加调整起床铃、熄灯铃时间的功能。6.增加调整打铃时间长短和间隙时间长短的功能。7.增设上午4节课的上、下课打铃功能,规定:7:30上课,8:20下课8:30上课,9:20下课9:40上课,10:30

3、下课10:40上课,11:30下课。每次铃响5s。四、设计具体步骤:-23-1、完成各个模块的设计,其具体代码如下:moduleclock(clock,en,adjusth,adjustm,adjusts,adjustpm,second,minute,hour);inputclock;inputen;inputadjusth;inputadjustm;inputadjusts;inputadjustpm;output[4:0]hour;reg[4:0]hour;output[5:0]minute;reg[5:0]minute;output[5:0]seco

4、nd;reg[5:0]second;always@(posedgeclock)beginif(en==1'b1)beginif(adjustpm==1'b0)beginif(hour<12)beginhour<=hour+12;endelsebeginhour<=hour-12;endendelseif(adjusth==1'b1)beginif(hour==23)-23-beginhour<=0;endelsebeginhour<=hour+1;endendelseif(adjustm==1'b1)beginif(minute==59)beginminu

5、te<=0;endelsebeginminute<=minute+1;endendelseif(adjusts==1'b1)beginsecond<=0;endendelsebeginif(second==59)beginsecond<=0;if(minute==59)beginminute<=0;if(hour==23)beginhour<=0;endelsebeginhour<=hour+1;endendelse-23-beginminute<=minute+1;endendelsebeginsecond<=second+1;endendendendm

6、odulemodulestatemachine(clock,changemode,adjposition,adjval,command,adjbotton,flash);inputclock;inputchangemode;inputadjposition;inputadjval;output[4:0]command;reg[4:0]command;output[2:0]adjbotton;reg[2:0]adjbotton;output[2:0]flash;reg[2:0]flash;parameter[2:0]timer=0;parameter[2:0

7、]adj_timer=1;parameter[2:0]adj_morningtime=2;parameter[2:0]adj_eveningtime=3;parameter[2:0]adj_ringlength=4;parameter[2:0]adj_12or24=5;reg[2:0]state;reg[1:0]pos;always@(posedgeclock)//mokuai1gongnengshitongguochangemodedeanxialaigaibianzhuangtaibegin-23-case(state)//casestatetimer

8、://timer0shibeginif(changemode==1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。