基于fpga实现的多路pwm设计

基于fpga实现的多路pwm设计

ID:1145165

大小:1.32 MB

页数:3页

时间:2017-11-08

基于fpga实现的多路pwm设计_第1页
基于fpga实现的多路pwm设计_第2页
基于fpga实现的多路pwm设计_第3页
资源描述:

《基于fpga实现的多路pwm设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、第8卷第10期中国水运Vol.8No.102008年10月ChinaWaterTransportOctober2008基于FPGA实现的多路PWM设计王珏,曾云(中国船舶重工集团公司第七二二研究所,湖北武汉430079)摘要:文章通过对PWM的原理分析,提出了一种基于FPGA技术的PWM的快速实现方法,并结合DSP实现了多路PWM输出。此实现方法具有硬件设计简单、运行速度快、成本低等优点。同时由于FPGA可重复编程的特点,可以对它进行在线修改、调试和运行。关键词:PWM;FPGA;VerilogHDL

2、中图分类号:TP311文献标识码:A文章编号:1006-7973(2008)10-0113-03一、引言未调制宽度;m是调制指数。PWM是脉冲宽度调制(PulseWidthModulation)的三、多路PWM设计的实现简称,它在自动控制和计算机技术领域中都有广泛的应用。PWM技术最初是在无线电技术中用于信号的调制,后来在电机控制、交流检测等实际应用系统中,PWM是整个系在低频大功率电路中得到了很好的应用。在直流伺服控制系统统的技术核心。因此,设计支持PWM输出的芯片(或独立中,通过专用集成芯片或中小

3、规模数字集成电路构成的传统的电路功能模块)实用价值很大。PWM控制电路往往存在电路设计复杂、体积大、抗干扰能力二、PWM调制原理差以及设计困难、设计周期长等缺点,因此,PWM控制电路PWM波通常由一列占空比不同的矩形脉冲构成,其占的模块化、集成化已成为发展趋势。它不仅可以使系统体积减空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制小、重量减轻且功耗降低,同时可使系统的可靠性大大提高。系统的原理框图和波形图。该系统有一个比较器和一个周期随着电子技术的发展,特别是ASIC(专用集成电路)设计技为Ts的

4、锯齿波发生器组成。语音信号如果大于锯齿波信号,术的日趋完善,数字化的EDA(电子设计自动化)工具给电比较器输出正常数A,否则输出0。因此,从图1中可以看子设计带来了巨大变革,在水声和超声、电机控制等许多应用出,比较器输出一列下降沿调制的脉冲宽度调制波。场合,需要产生多路频率和脉冲宽度可调的PWM波形,这可通过FPGA丰富的硬件资源和可以配置I/O引脚来实现。嵌入式系统中FPGA的应用设计关键是系统软硬件功能的划分。1.单路PWM发生器结构和原理图2是实现的单路PWM硬件结构框图。CPU通过数据线向FP

5、GA写入定时常数控制PWM的频率、初始相位和占空比,并通过外部启动信号控制PWM的启动。初始相位寄存器数据线数据缓冲占空比寄存图1脉冲宽度调制原理分配器器逻辑控制PWM输出死区控制(计数器)通过图1(b)的分析可以看出,生成的矩形脉冲的宽度取决于脉冲下降沿时刻tk时的语音信号幅度值。因而,采频率字寄存样值之间的时间间隔是非均匀的。在系统的输入端插入一个器采样保持电路可以得到均匀的采样信号,但是对于实际中tk-kTs<

6、矩形脉冲可以表示为:时钟τ=τ[1+mx(kT)](1)κ0s其中,x{t}是离散化的语音信号;Ts是采样周期;τ是图2单路PWM原理框图0收稿日期:2008-08-04作者简介:王珏,男,中国船舶重工集团公司第七二二研究所工程师,研究方向为低频通信。114中国水运第08卷系统的工作过程:采用20M时钟脉冲信号作为PWM生SPWM的频率字,初始相位字,占空比等信息,经过处理编成器的时钟信号。首先CPU(这里使用的是DSP)计算出码发送给FPGA实现SPWM。SWPM波形控制字在DSP内所需要输出PWM

7、的频率,初始相位和占空比信息,通过数部具体的代码实现,在这里就暂不介绍了。据线向FPGA内部寄存器写入以上信息,并通过外部启动信2.多路PWM发生器的实现原理号控制PWM的启动。然后通过逻辑控制单元输出原始PWM通过上面的设计可以实现单路的PWM的FPGA设计,信号,逻辑控制器主要组成为计数器,逻辑控制单元的基本利用FPGA来扩展I/O接口,可实现多路PWM(脉宽调制)原理图如图3所示。周期计数器单元确定了PWM信号频率,输出,在超声、电机控制等许多应用场合,需要产生多路频当要改变PWM频率时,只需改

8、变周期计数单元的计数数量率,和脉冲宽度可调的PWM波形。或计数权值。这样计数器的溢出时间也就确定了PWM周期。应用实现的单路的PWM的设计,可以推广到多路PWM周期、占空比计数单元共同作用下可以改变PWM的脉冲占设计,图4为多路PWM的系统原理框图。通过一个主控DSP空比。周期计数器的输出和占空比计数器的输出经过比较器芯片内部计算产生输出生成PWM所需的频率、初始相位、后得到的脉冲确定了PWM脉冲的占空比。再和相位延时计占空比等参数,后级可并联数个FPG

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。