[计算机硬件及网络]西工大硬件描述语言实验报告

[计算机硬件及网络]西工大硬件描述语言实验报告

ID:12087391

大小:596.71 KB

页数:50页

时间:2018-07-15

[计算机硬件及网络]西工大硬件描述语言实验报告_第1页
[计算机硬件及网络]西工大硬件描述语言实验报告_第2页
[计算机硬件及网络]西工大硬件描述语言实验报告_第3页
[计算机硬件及网络]西工大硬件描述语言实验报告_第4页
[计算机硬件及网络]西工大硬件描述语言实验报告_第5页
资源描述:

《[计算机硬件及网络]西工大硬件描述语言实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、硬件描述语言实验报告班级:xxxxxxxx学号:xxxxxxxx姓名:xxxxxxxx-49-/50目录硬件描述语言-0-实验报告-0-实验一简单组合逻辑设计-2-实验二简单分频时序逻辑电路的设计-4-实验三利用条件语句实现计数分频时序电路-7-实验四阻塞赋值与非阻塞赋值的区别-12-实验五用always块实现较复杂的组合逻辑电路-16-实验六在Verilog中使用函数-21-实验七在VerilogHDL中使用任务(task)-25-实验八利用有限状态机进行时序逻辑的设计-32-实验九利用状态机实现比较复杂的接口设计-36-实验十利用SRAM设计一个FIFO-46--49-/50实

2、验一简单组合逻辑设计一、实验目的1.掌握基本组合逻辑电路的实现方法。2.初步了解两种基本组合逻辑电路的生成方法。3.学习测试模块的编写。4.通过综合和布局布线了解不同层次仿真的物理意义。二、实验内容本次实验采用VerilogHDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。三、实验步骤1.建立工程文件,编写模块源码和测试模块,要求测试模块对源文件进行比较全面的测试;2.编译源码和测试模块,用测试模块对源文件进行测试,并进行仿真;3.观察综合后生成的文件和源文件的不同点和相同

3、点。4.综合时采用不同的FPGA器件,观察综合后的结果有什么不同。四、实验代码1.模块源码modulecompare(equal,a,b);inputa,b;outputequal;assignequal=(a==b)?1:0;endmodule2.测试代码`timescale1ns/1ns-49-/50modulecompare_t;rega,b;wireequal;initialbegina=0;b=0;#100a=0;b=1;#100a=1;b=1;#100a=1;b=0;#100a=0;b=0;#100$stop;endcomparem(.equal(equal),.a(a

4、),.b(b));endmodule一、综合仿真RTL图及仿真后波形图:二、思考题1.课本练习一的测试方法二中,第二个initial块有什么用?它与第一个-49-/50initial块有什么关系?测试方法二中的第二个initial用来暂停仿真以便观察仿真波形,它与第一个initial是并行关系2.如果在第二个initial块中,没有写出#10000或者$stop,仿真会如何进行?如果没有写#10000,仿真会直接停止,没有$stop,仿真不会结束。3.比较两种测试方法,哪一种更全面?第二种测试方法更全面,测试了更多种的变换的情况。实验二简单分频时序逻辑电路的设计一、实验目的1.掌握

5、条件语句在简单时序模块设计中的使用;2.掌握verilog语句在简单时序模块设计中的使用;3.学习在Verilog模块中应用计数器;4.学习测试模块的编写、综合和不同层次的仿真。二、实验内容1.使用always块和@(posedgeclk)或@(negedgeclk)的结构来表述时序逻辑,设计1/2分频的可综合模型。得到如下波形图:2.对模块进行RTL级仿真、综合后门级仿真,布局布线仿真;-49-/50一、实验步骤1.建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试。2.编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真。得到波形图。3.观察综

6、合后生成的文件和源文件的不同点和相同点。4.记录数据并完成实验报告。二、实验代码1.模块代码modulehalf_clk(reset,clk_in,clk_out);inputclk_in,reset;outputclk_out;regclk_out;always@(posedgeclk_in)beginif(!reset)clk_out=0;elseclk_out=~clk_out;endendmodule2.测试代码`timescale1ns/100ps`defineclk_cycle50moduletop;regclk,reset;wireclk_out;always#`cl

7、k_cycleclk=~clk;initialbeginclk=0;reset=1;#10reset=0;#110reset=1;#100000$stop;endhalf_clkm0(.reset(reset),.clk_in(clk),.clk_out(clk_out));endmodule-49-/50一、综合仿真RTL图以及仿真后波形图二、思考题1.如果没有reset信号,能否控制2分频clk_out信号的相位?如果没有reset信号,则无法控制2分频clk_o

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。