智力竞赛抢答器的设计new

智力竞赛抢答器的设计new

ID:1210486

大小:140.00 KB

页数:13页

时间:2017-11-08

智力竞赛抢答器的设计new_第1页
智力竞赛抢答器的设计new_第2页
智力竞赛抢答器的设计new_第3页
智力竞赛抢答器的设计new_第4页
智力竞赛抢答器的设计new_第5页
资源描述:

《智力竞赛抢答器的设计new》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、黑龙江科技学院课程设计任务书一、设计题目:二、设计的主要内容:指导教师:日期:教师评语:评阅成绩:评阅人:日期:黑龙江科技学院课程设计任务书一、设计题目:智力竞赛抢答器的设计二、设计的主要内容:设计一个智力竞赛抢答器要求具有四路抢答输入,能够识别最先抢答的信号,显示该台号;对回答问题所用的时间进行计时、显示、超时报警;可以预置回答问题的时问;同时具有复位功能,倒计时启动功能。指导教师:郝维来日期:教师评语:评阅成绩:评阅人:日期:摘要本文介绍了一种智力竞赛抢答器的基本原理和功能要求,并采用VHDL语言实现了这种抢答器的程序设计。本文所采用的模块化、逐步细化的设计方法有利于系统的分工合作

2、、并且能够及早发现各子模块及系统中的错误,提高系统设计的效率,特别适用于可编程逻辑器件的应用设计。把要设计的系统划分为五个功能模块:抢答信号判别电路,最先抢答的台号显示控制电路,分频电路,倒计时及计时值显示电路,扬声器控电路.关键词:VHDL自顶向下的设计方法抢答器可编程逻辑器件第1章概述1.1简单介绍20世纪90年代,引起数字系统设计方法发生突破性变革的技术是VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage,超高速集成电路硬体描述语言)。它是一种以IEEE一1076标准所规范的硬件描述语言,主要用于从算法级、

3、寄存器级到门级的多种抽象设计层次的数字系统建模,已成为电子设计自动化(EDA)的一种重要手段。现代数字系统的设计多采用自顶向下的设计方法,属阶层式设计‘1'。自顶向下设计的各个阶层,可全部用图形也可全部用VHDL语言进行描述,还可采用混合方式,即顶层模块用图形描述,底层元件用VHDL描述等。1.2实际应用工厂、学校和电视台等单位常举办各种智力竞赛,抢答记分器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。为解决这个问题,我们小组准备就本次大赛的机会制作一个低成本但

4、又能满足学校需要的四路智力竞赛抢答器。抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示、数码显示和警示蜂鸣等手段指示出第1抢答者。第2章智力抢答器的设计思想2.1智力竞赛抢答器的基本原理设计一个智力竞赛抢答器要求具有四路抢答输入,能够识别最先抢答的信号,显示该台号;对回答问题所用的时间进行计时、显示、超时报警;可以预置回答问题的时问;同时具有复位功能,倒计时启动功能。2.2功能模块划分根据对抢答器的功能要求,把要设计的系统划分为五个功能模块:抢答信号判别电路,最先抢答的台号显示控制电路,分频电路,倒计时及计时值显示电路,扬声器控电路.2.3功能分

5、析抢答信号判别电路在系统复位后,对A、B、c、D四路抢答信号进行判别,输出端G3一G0与A—D一一对应,优胜者对应的G为“1”,其余的G为“0”,且将结果锁存。完成抢答判别的同时,输出端R输出有效信号,对扬声器进行选通。分频电路用于产生倒计时电路所需的周期为ls的时钟脉冲,分频系数视输入时钟cLK的频率而定。台号显示控制电路将输入信号G3一G0转换为BCD码,以驱动数码管,显示台号。倒计时及时间显示控制电路由ti,tset信号预置答题时问;由复位信号INI将答题时间作为初值赋给倒计时计数器;由计数允许信号EN启动计数。输出信号为time,sel与out,其中sel与out为扬声器选通控

6、制信号。扬声器控制电路使系统只在两种情况下输出驱动扬声器的脉冲信号:一种是倒计时计数器处于禁止计数状态(EN无效),并且完成初始化,开始对抢答信号进行判别,当某参赛组抢先按下按键,系统在输出该组台号信息的同时,输出脉冲信号;一种是确认优先抢答的参赛组后,启动倒计时计数器计数(使EN有效),当计数到“0”时,输出脉冲信号。根据上述分析得出了各功能模块间的接口关系,见图1至此,已从设计要求出发生成了抢答器的顶层文件。2.4用VHDL自顶向下实现智能抢答器的设计采用VHDL、以层次化设计的方法对抢答器进行逻辑功能描述。本设计用单个VHDL实体进行逻辑设计,以若干进程分别对各功能模块进行描述。

7、对外部端口在实体中定义,对各模块间的接口信号,作为内部节点,在结构体中用SIGNAL定义。抢答器的VHDL源文件qdq.Vhd见程序清单。仿真结果如下:第3章结论用VHDL设计的智力竞赛抢答器在计算机上仿真通过后,下载到可编程逻辑器件中,整个设计过程相对于传统的设计方法,有较大的突破,重要体现在:1)VHDL的设计采用自顶向下的设计方法⋯。这种模块化、逐步细化的方法有利于系统的分工合作、并且能够及早发现各子模块及系统中的错误,提高系统设计的效率

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。