基于fpga的16qam调制解调

基于fpga的16qam调制解调

ID:1258737

大小:517.50 KB

页数:23页

时间:2017-11-09

基于fpga的16qam调制解调_第1页
基于fpga的16qam调制解调_第2页
基于fpga的16qam调制解调_第3页
基于fpga的16qam调制解调_第4页
基于fpga的16qam调制解调_第5页
资源描述:

《基于fpga的16qam调制解调》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的16QAM调制解调器的实现组员:23目录摘要3一、QAM调制原理3二、QAM的解调原理4三、16QAM调制器的实现51系统总体框图52时钟分频63串并转换64差分编码和星座映射65DDS和线性加法器7四、QAM解调模块设计71低通滤波器模块设计72采样判决模块设计8五、16QAM调制器的仿真结果9四、附录131顶层模块132时钟分频模块143串并转换模块154差分模块和星座映射模块165DDS和加法器模块186testbench源程序237用matlab进行频谱分析2423摘要QAM(QuadratureAmplitudeModulation)是一种新的

2、调制技术,它在调制过程中利用了相位和幅度两维空间资源,比只利用单一维度空间资源的PSK和ASK调制方式频谱利用率高,不仅如此,QAM的星座点比PSK的星座点更分散,星座点之间的距离因此更大,所以能提供更好的传输性能。随着第三代移动通信的兴起,传输容量增大,多进制正交幅度调制MQAM(MultipleQuadratureAmplitudeModulation)将得到更加广泛的运用。本文主要研究了基于FPGA的16QAM调制与解调的实现。首先说明了QAM调制和解调的原理,然后对各系统组成模块分析与仿真之后提出基于FPGA的16QAM调制与解调的总体设计方案。最后用Veri

3、log语言编写程序完成了整个系统的仿真,并对编好的程序其进行了编译调试。文中详细介绍了载波恢复、正交相干解调、FIR低通滤波器和采样判决的基本原理和设计方法。关键词:正交相干解调,混频,FPGA,QAM一、QAM调制原理正交幅度调制(QAM)是一种把数字信息包含在载波的振幅和相位中的数字调制方式,也是ASK和PSK的结合。式(1)表示了QAM信号,它还可用式(2)来表示在QAM中是如何结合幅度和相位调制的。(1)(2)16QAM信号的产生有两种基本方法:正交调幅法是用两路正交的四电平振幅键控信号叠加而成;四相叠加法是用两路独立的四相移相键控信号叠加而成。其中,16QA

4、M正交调幅法的调制方框图如图1所示。输入的二进制数据经过串一并变换分别进入a1、a2、b1和b2,4个信道:每个信道的数据速率降为原来的1/4。2—4电平转换器产生一个四电平的PAM信号,每个2~4电平转换器的输出有2种量值和2种相位。两个PAM信号分别调制同相和正交载波,每一个调制器有4种可能的输出,经线性加法器合并产生16QAM信号。图116QAM调制原理图每路PAM信号的量值和相位由输入的二进制数据及其比特分配模式——星座图决定。16QAM星座图通常有自然码逻辑和Gray码逻辑2种比特模式。因为23Gray码可以消除相邻点间符号差错中的2比特误差,即可减小相同符

5、号差错率中的误比特率,IEEE802.1la和HiperLAN/2标准中所有的星座都是Gray码的。本文在设计16QAM的时候,采用图2的Gray模式进行编码,图中4个比特位从左至右的顺序为blb2ala2。图216QAM星座图的Gray码逻辑模式二、QAM的解调原理解调实质上是调制的逆过程,在理想情况下,MQAM信号的频带利用率为,目前,对QAM信号的解调方法很多,其主要方法有以下三种:模拟相干解调、数字相干解调、全数字解调[4]。本文采用数字相干解调法对QAM进行解调,原理如图2.1.3所示:串并转换多电平转换LPF采样判决载波恢复LPF多电平转换采样判决QAM信

6、号图2.1.3QAM解调器框图在接收端接收到的调制信号分别和两路相互正交的载波信号相乘,化简之后相同信号的表达式为:23(2-6)正交信号表达式为:(2-7)其中,,,,经过解调得到同相与正交两路相互独立的多电平基带信号,然后把多电平基带信号经过低通滤波器滤去高频载波之后得到直流分量为和,再进行采样判决、L-2值电平转换和并/串转换还原出基带信号。此处时为16QAM相干解调。三、16QAM调制器的实现系统输入数据速率为100Kb/s;系统正交调制载波频率为1MHz。调制部分主要由Ahera公司推出的Cyclone系列器件EPlC6Q240C8实现.该器件完成对输入数据

7、串并变换、差分编码、星座影射等。设计EDA工具为Ahera集成设计软件QuartusII7.2版本;硬件描述VerilogHDL语言完成逻辑设计。本系统还用到仿真工具Matlab,搭建16QAM调制器的simulink模块,采用示波器观看波形。1系统总体框图16QAM调制器的实现主要包括时钟模块、串并变换模块、查分编码模块、星座映射、DDS模块、加法器模块。系统总体框图如下:23图3系统总体框图系统顶层设计如下:图4系统顶层设计2时钟分频时钟分频模块clk利用N分频器对10MHz系统时钟信号进行N分频,以产生调制器模块所需的工作时钟。N分频器是由模N

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。