基于fpga的fft的设计与实现

基于fpga的fft的设计与实现

ID:1259099

大小:3.37 MB

页数:17页

时间:2017-11-09

基于fpga的fft的设计与实现_第1页
基于fpga的fft的设计与实现_第2页
基于fpga的fft的设计与实现_第3页
基于fpga的fft的设计与实现_第4页
基于fpga的fft的设计与实现_第5页
资源描述:

《基于fpga的fft的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、信息与通信工程学院综合实验(1)设计报告基于FPGA的FFT设计与实现学号:S309080034专业:光学工程学生姓名:彭欢任课教师:钟志副教授2010年7月基于FPGA的FFT的设计与实现彭欢信息与通信工程学院摘要:本文主要研究如何利用FPGA实现FFT处理器,包括算法选取、算法验证、系统结构设计、各个模块设计、FPGA实现和测试整个流程。设计采用基-2按时间抽取算法,以XILINX公司提供的ISE6.1为软件平台,利用VerilogHDL描述的方式实现了512点16bist复数块浮点结构的FFT系统,并以FPGA芯片场VirtexIIXC

2、2V1000为硬件平台,进行了仿真、综合等工作。仿真结果表明其计算结果达到了一定的精度,运算速度可以满足一般实时信号处理的要求。关键词:快速傅立叶变换,现场可编程门阵列,块浮点,VerilogHDL1引言目前,FFT己广泛应用在频谱分析、匹配滤波、数字通信、图像处理、语音识别、雷达处理、遥感遥测、地质勘探和无线保密通讯等众多领域。在不同应用场合,需要不同性能要求的FFT处理器。在很多应用领域都要求FFT处理器具有高速度、高精度、大容量和实时处理的性能。因此,如何更快速、更灵活地实现FFT变得越来越重要。在过去很长一段时间,DSP处理器是DSP

3、应用系统核心器件的唯一选择。尽管DSP处理器具有通过软件设计能适用于实现不同功能的灵活性,但面对当今速度变化的DSP应用市场,特别是面对现代通信技术的发展,DSP处理器在处理速度上早已力不从心。与DSP相比,FPGA实现FFT的主要优越性有:(1)、FPGA实现数字信号处理最显著的特点就是高速性能好。FPGA有内置的高速乘法器和加法器,尤其适合于乘法和累加等重复性的DSP任务。(2)、FPGA的存储量大。DSP内部一般没有大容量的存储器,但是FTF实时处理运算需要存储大量的数据,只能外接存储器,这样往往会使运算速度下降,同时电路也会更复杂和不

4、稳定。目前,高档的FPGA中有巨量的高速存储器,不用外接存储器便可实现FFT实时处理运算,其速度更快,电路更简单,集成度和可靠性也大幅度提高。(3)、FPGA是硬件可编程的,比DSP更加灵活。DSP往往需要外部的接口和控制芯片配合工作,FPGA则不需要,这样使得硬件更简单和小型化。(4)、在比较FPGA和DSP时,一个极为重要的系统参数是输入/输出(1/0)带宽。除了一些专用引脚外,FPGA上几乎所有的引脚均可供用户使用,这使得FPGA信号处理方案具有非常高性能的FO带宽。大量的FO引脚和多块存储器可让系统在设计中获得优越的并行处理性能。2现

5、场可编程门阵列(FPGA)技术2.1FPGA器件简介FPGA即现场可编程门阵列,它是作为ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA结合了微电子技术、电路技术、EDA技术,使设计者可以集中精力进行所需逻辑功能的设计,缩短设计周期,提高设计质量。FPGA己经在计算机硬件、工业控制、遥感遥测、雷达声纳、数据处理、智能仪器仪表、广播电视、医疗电子和现代通信等多种领域中得到广泛应用,FPAG开发技术,己经成为数字系统的教学实践、科研试验、样机调试和中小批量生产的首选方案。1.1.1

6、FPGA的基本结构FPGA采用了逻辑单元阵列LCA这样一个新概念,内部一般是由可配置逻辑模CLB、可编程输入/输出模块IOB和互连资源ICR及一个用于存放编程数据的静态存储器SRAM组成,以XILNIX公司的XC4000,基本结构如图2.1所示。图2.1XC4000系列FPGA基本结构1.1.2FPGA器件的性能特点FPGA器件的性能特点主要有:(1)、采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。(2)、FPGA提供丰富的I/O引脚和触发器,集成度远远高于可编程阵列逻辑(PAL)器件。(3)、FPGA器件结构灵活,内

7、部的CLB、IOB和ICR均可以编程,可以实现多个变量的任意逻辑。(4)、某些器件提供片内高速RAM,可用于FIFO等设计。(5)、基于SRAM编程技术,具有高密度、高速度、高可靠性和低功耗的特性。(6)、FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。1.2基于FPGA的系统开发以XILNIX为例,FPGA设计的一般流程包括设计输入、功能仿真、设计实现、时序仿真、器件编程与测试几个步骤。设计流程图如图2.2所示。图2.2FPGA设计流程(l)、设计输入:主要输入方法有硬件描述语言和原理图,结构向导(Architrct

8、ureWizard)和核生成器(CoreGeneartor)可以辅助设计输入。(2)、功能仿真:功能仿真没有器件内部逻辑单元和连线的实际延时信息,只是初步验证系统的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。