单片机原理及接口技术课程设计(数...

单片机原理及接口技术课程设计(数...

ID:13033591

大小:316.00 KB

页数:19页

时间:2018-07-20

单片机原理及接口技术课程设计(数..._第1页
单片机原理及接口技术课程设计(数..._第2页
单片机原理及接口技术课程设计(数..._第3页
单片机原理及接口技术课程设计(数..._第4页
单片机原理及接口技术课程设计(数..._第5页
资源描述:

《单片机原理及接口技术课程设计(数...》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、辽宁工业大学单片机原理及接口技术课程设计(论文)题目:数字电压表设计院(系):电气工程学院专业班级:电气103学号:学生姓名:指导教师:(签字)起止时间:2013.06.24-2013.07.12本科生课程设计(论文)本科生课程设计(论文)课程设计(论文)任务及评语院(系):电气工程学院教研室:电气工程及其自动化学号学生姓名专业班级课程设计(论文)题目数字电压表设计课程设计(论文)任务电压测量范围:0~500V;测量精度:0.5%;量程自动切换;采用LED显示;可用现场提供的220V交流电源。设计任务:1.CPU最小系统设计(

2、包括CPU选择,晶振电路,复位电路)2.电压检测电路设计3.显示电路及电源电路设计4..程序流程图设计及程序编写技术参数:1.电压测量范围:0~500V;测量精度:0.5%2.工作电源220V设计要求:1、分析系统功能,选择合适的单片机及传感器,电压检测电路以及显示电路设计等;2、应用专业绘图软件绘制硬件电路图和软件流程图;3、按规定格式,撰写、打印设计说明书一份,其中程序开发要有详细的软件设计说明,详细阐述系统的工作过程,字数应在4000字以上。进度计划第1天查阅收集资料第2天总体设计方案的确定第4天CPU最小系统设计第5天

3、电压检测电路设计第6天显示电路及电源电路设计第7天程序流程图设计第8天软件编写与调试第9天设计说明书完成第10天答辩指导教师评语及成绩平时:论文质量:答辩:总成绩:指导教师签字:年月日注:成绩:平时20%论文质量60%答辩20%以百分制计算III本科生课程设计(论文)摘要数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。本课题是利用单片机设计一个数字电压表,能够测量0-500V之间的直流电压值,四位数码管显示,使用的元器

4、件数目较少。外界电压模拟量输入到A/D转换部分的输入端,通过ADC0809转换变为数字信号,输送给单片机。然后由单片机给数码管数字信号,控制其发光,从而显示数字。关键词:数字电压表;单片机;AT89C51;ADC0809III本科生课程设计(论文)目录第1章绪论11.1数字电压表概况11.1本文研究内容1第2章CPU最小系统设计22.1数字电压表总体设计方案22.2CPU的选择22.3数据存储器扩展32.4复位电路设计42.5时钟电路设计42.6CPU最小系统图5第3章数字电压表输入输出接口电路设计63.1数字电压表量程的选择

5、63.2数字电压表检测接口电路设计63.2.1A/D转换器选择63.2.2模拟量检测接口电路图73.3数字电压表输出接口电路设计83.4电源电路设计8第4章数字电流表软件设计104.1流程图设计104.1.1主程序流程图设计104.1.2A/D流程图设计10第2章系统设计与分析122.1系统原理图122.2系统原理综述13第5章课程设计总结14参考文献15III本科生课程设计(论文)第1章绪论1.1数字电压表概况数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换

6、成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的

7、数字形式并加以显示,这有别于传统的以指针加刻度盘进行读数的方法,避免了读数的视差和视觉疲劳。目前数字电压表的内部核心部件是A/D转换器,转换器的精度很大程度上影响着数字电压表的准确度,本文A/D转换器采用ADC0809对输人模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算和处理,最后驱动输出装置显示数字电压信号。数字电压表是当前电子、电工、仪器、仪表和测量领域大量使用的一种基本测量工具有关数字电压表的书籍和应用已经非常普及了1.1本文研究内容本课题是利用单片机设计一个数字电压表,其设计要求如下:1.电压测量范围:

8、0~500V;2.测量精度:0.5%;量程自动切换;3.采用LED显示;4.可用现场提供的220V交流电源。15本科生课程设计(论文)CPU最小系统设计1.1数字电压表总体设计方案数字电压表的系统工作原理:首先,被测电压信号进入A/D转换器,单片机中控制信号线发出控制信号,启

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。