eda试题2004下学期b卷

eda试题2004下学期b卷

ID:13490165

大小:113.50 KB

页数:5页

时间:2018-07-22

eda试题2004下学期b卷_第1页
eda试题2004下学期b卷_第2页
eda试题2004下学期b卷_第3页
eda试题2004下学期b卷_第4页
eda试题2004下学期b卷_第5页
资源描述:

《eda试题2004下学期b卷》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、杭州电子科技大学学生考试卷(B)卷考试课程EDA技术与VHDL考试日期2005年月日成绩课程号教师号任课教师姓名考生姓名学号(8位)年级专业一、单项选择题:(20分)1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。A.软IPB.固IPC.硬IPD.都不是2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。A.综合就是把抽象设计层次中的一种表示转化成另一种表示

2、的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。不是唯一3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。A.FPGA是基于乘积项结构的可编程逻辑器件;查找表结构B.FPGA是全称为复杂可编程逻辑

3、器件;现场可编程门阵列C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。属于CPLD4.进程中的变量赋值语句,其变量更新是_________。A.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。A.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。6.不

4、完整的IF语句,其综合结果可实现________。A.时序逻辑电路B.组合逻辑电路C.双向电路D.三态控制电路7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法A.①③⑤B.②③④C.②⑤⑥D.①④⑥8.下列标识符中,__________是不合法的标识符。A.State0B.9moonC.Not_Ack_0D.signall9.关于VHDL

5、中的数字,请找出以下数字中最大的一个:__________。A.2#1111_1110#254B.8#276#C.10#170#170D.16#E#E122410.下列EDA软件中,哪一个不具有逻辑综合功能:________。A.Max+PlusIIB.ModelSimC.QuartusIID.Synplify第1页共5页二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)1.VHDL硬件描述语言2.FPGA现场可编程门阵列3.RTL寄存器传输级4.SOPC可编程片上系统5.EAB

6、嵌入式阵列块三、VHDL程序填空:(10分)下面程序是参数可定制带计数使能异步复位计数器的VHDL描述,试补充完整。--N-bitUpCounterwithLoad,CountEnable,and--AsynchronousResetlibraryieee;useIEEE.std_logic_1164.all;useIEEE._std_logic_unsigned_.all;useIEEE.std_logic_arith.all;entitycounter_nis_generic_(width:

7、integer:=8);port(data:instd_logic_vector(width-1downto0);load,en,clk,rst:__in__std_logic;q:outstd_logic_vector(__width-1__downto0));endcounter_n;architecturebehaveof_counter_n_issignalcount:std_logic_vector(width-1downto0);beginprocess(clk,rst)begini

8、frst='1'thencount<=_(other=>'0')__;――清零elsif_clk'eventandclk='1'_then――边沿检测ifload='1'thencount<=data;_elsif_en='1'thencount<=count+1;__endif__;endif;endprocess;_q<=count;_endbehave;四、VHDL程序改错:(10分)仔细阅读下列程序,回答问题1LIBRARYIEEE;2USEIEEE.STD_LOGIC_1164.ALL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。