学位论文-—基于eda的数字电压表的设计.doc

学位论文-—基于eda的数字电压表的设计.doc

ID:13554385

大小:3.63 MB

页数:34页

时间:2018-07-23

学位论文-—基于eda的数字电压表的设计.doc_第1页
学位论文-—基于eda的数字电压表的设计.doc_第2页
学位论文-—基于eda的数字电压表的设计.doc_第3页
学位论文-—基于eda的数字电压表的设计.doc_第4页
学位论文-—基于eda的数字电压表的设计.doc_第5页
资源描述:

《学位论文-—基于eda的数字电压表的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)数字电压表毕业设计(论文)专业:应用电子技术系部:计算机科学与技术系设计(论文)题目:基于EDA的数字电压表的设计2012年6月6日29毕业设计(论文)数字电压表摘要在计算机支持的信息技术时代,电子技术日益数字化,数字电路以及其基本单元简单化,应用单元标准化、模块化、通用化的特点,长驱直入地深入到电力、通讯、计算机、家电、机械等行业的应用。数字电压表的设计应包括三个主要部分:作为电压采样端口的模数转换单元、数据处理单元以及电压值显示单元。要求利用ADC0804模数转换器,FPGA作为数据处理的

2、核心器件,用LED数码管进行电压值的显示。其基本原理是采用数字化测量技术,对直流电压进行模数转换,转换成不连续、离散的数字形式并加以显示。关键词模数转换器FPGA数据处理29毕业设计(论文)数字电压表目录摘要....Ⅰ绪论1第一章系统开发工具简介31.1EDA技术简介31.2VHDL简介41.3CPLD/FPGA的简介61.4QUARTUSII71.5设计流程9第二章系统设计与实现102.1设计任务和要求102.2设计原理102.2.1模数转换器工作原理112.2.2数据处理及显示单元122.3模数转换接口电

3、路的设计132.4数据处理模块的设计142.4.1计算法进行数据处理模块的设计142.4.2查表法进行数据处理模块的设计152.5显示模块的设计17第三章系统调试与性能分析183.1仿真波形183.2下载及调试193.3性能分析19总结20致谢21参考文献22附录源程序2329毕业设计(论文)数字电压表绪论EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。EDA技术是计算机技术与电子设计技术相结合的一门崭新的技术,给电子产品设计与开发带来了革命性的变化。随着EDA技术的发展,硬件电子电

4、路的设计几乎可以依靠计算机完成,大大缩短了硬件电子设计的周期,从而使制造商能迅速开发出品种多、批量小的产品,满足市场的需求。已成为广泛应用于各电子信息领域的前沿技术之一,用它能克服实验室元器件品种、规模、数量不足、仪器陈旧老化、实验电路板形式单调,不利于学生创新设计等缺点,对培养我们的应用能力、综合分析与设计能力和提高综合素质都具有重要的意义。QUARTUSⅡ是ALERT公司最新推出的EDA软件工具,其设计工作完全支持VHDL、VERILOG的设计流程,其内部嵌有VHDL、VERILOG逻辑综合器。第三方的综

5、合工具,如LEONARDO、SPECTRUM、SYNPLIFYPRO、FPGACOMPILERⅡ有着更好的综合效果,因此通常建议使用这些工具来完成VHDL/VERILOG源程序的综合。它可直接调用这第三方工具同样还具备仿真的功能,也支持第三方的仿真工具。如MODELISM。VHDL是一种高级描述语言,适用于行为级和RTL级的描述,最适用于描述电路的行为。它是电子设计的主流硬件描述语言,诞生于1982年,VHDL主要用于描述数字的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述

6、风格与句法十分类似于一般的计算机高级语言。它的程序结构特点是将一项设计实体分成外部和内部两个基本点部分,其中外部为可见部分,即系统的端口,而内部为不可见部分,即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种设计实体分成内、外部分的概念是VHDL系统设计的基本点。FPGA即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。FPGA采用了逻辑单元阵列LCA这样一个概念,内部包括可配置逻辑模块CLB

7、、输出输入模块IOB和内部连线三个部分。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯

8、片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。DVM的高速发展,使它已成为实现测量自动化、提高工作效率不可缺少的仪表,数字化是当前计量仪器发展的主要方向之一,而高准度的DC-DVC的出现,又使DVM进入了精密标准测量领域。这个课题的目的和意义在于使自己掌握对数字电压表的理解,自己动手设计数字电压表与仿真,它可以广泛的应用于电压测量外,通过各种变换器还可以测量其他电量和非电量,测量是一种认识

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。