eda流水灯底层文件代码

eda流水灯底层文件代码

ID:13961973

大小:68.00 KB

页数:6页

时间:2018-07-25

eda流水灯底层文件代码_第1页
eda流水灯底层文件代码_第2页
eda流水灯底层文件代码_第3页
eda流水灯底层文件代码_第4页
eda流水灯底层文件代码_第5页
资源描述:

《eda流水灯底层文件代码》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entitydivisPORT(CLK_IN:INSTD_LOGIC;--输入时钟信号CLK_OUT:OUTSTD_LOGIC--分频后的输出时钟信号);enddiv;architectureBehavioralofdivissignalcont:STD_logic_vector(1downto0);beginprocess(

2、CLK_IN)BEGINIFRISING_EDGE(CLK_IN)THENIFCONT="11"THENCONT<="00";CLK_OUT<='1';ELSECONT<=CONT+'1';CLK_OUT<='0';ENDIF;ENDIF;ENDPROCESS;endBehavioral;libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityled0isPORT(CL

3、K:INSTD_LOGIC;--分频后的时钟信号EN:INSTD_LOGIC;--使能控制信号M:OUTSTD_LOGIC_VECTOR(7DOWNTO0)--控制8个灯的输出信号);endled0;architectureBehavioralofled0isSIGNALSEL:STD_LOGIC_VECTOR(2DOWNTO0);beginPROCESS(EN,CLK,SEL)BEGINIFRISING_EDGE(CLK)THENIFEN='1'THENIFSEL="111"THENSEL<="000";ELSESE

4、L<=SEL+'1';ENDIF;ENDIF;ENDIF;CASESELISWHEN"000"=>M<="10000000";WHEN"001"=>M<="01000000";WHEN"010"=>M<="00100000";WHEN"011"=>M<="00010000";WHEN"100"=>M<="00001000";WHEN"101"=>M<="00000100";WHEN"110"=>M<="00000010";WHEN"111"=>M<="00000001";WHENOTHERS=>NULL;ENDCASE

5、;ENDPROCESS;endBehavioral;libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityled1isPORT(CLK:INSTD_LOGIC;--分频后的时钟信号EN:INSTD_LOGIC;--使能控制信号N:OUTSTD_LOGIC_VECTOR(7DOWNTO0)--控制8个灯的输出信号);endled1;architectureBehavio

6、ralofled1isSIGNALSEL:STD_LOGIC_VECTOR(2DOWNTO0);beginPROCESS(EN,CLK,SEL)BEGINIFRISING_EDGE(CLK)THENIFEN='1'THENIFSEL="111"THENSEL<="000";ELSESEL<=SEL+'1';ENDIF;ENDIF;ENDIF;CASESELISWHEN"000"=>N<="00000001";WHEN"001"=>N<="00000010";WHEN"010"=>N<="00000100";WHEN"0

7、11"=>N<="00001000";WHEN"100"=>N<="00010000";WHEN"101"=>N<="00100000";WHEN"110"=>N<="01000000";WHEN"111"=>N<="10000000";WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;endBehavioral;libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED

8、.ALL;entityled2isPORT(CLK:INSTD_LOGIC;--分频后的时钟信号EN:INSTD_LOGIC;--使能控制信号L:OUTSTD_LOGIC_VECTOR(7DOWNTO0)--控制8个灯的输出信号);endled2;architectureBehavioralofled2isSIGNALSEL:ST

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。