三、半整数与奇数分频器设计

三、半整数与奇数分频器设计

ID:14406882

大小:47.00 KB

页数:3页

时间:2018-07-28

三、半整数与奇数分频器设计_第1页
三、半整数与奇数分频器设计_第2页
三、半整数与奇数分频器设计_第3页
资源描述:

《三、半整数与奇数分频器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验3半整数与奇数分频器设计一实验目的1 熟悉QuartusII的VHDL文本设计流程全过程,学习计数器的设计与仿真2 掌握简单逻辑电路的设计方法与功能仿真技巧。3学习并掌握用VHDL语言、语法规则4学习使用VHDL语言进行半整数与奇数分频器的设计二.实验仪器设备1PC机,1台2QuartusII系统三.实验原理1参考教材中的相关内容;2根据老师教学演示的相关内容。四.实验内容用VHDL语言设计一个半整数与奇数分频器,并进行编辑,编译与仿真。要求(1)设置时钟信号源;(2)用VHDL语言对半整数与奇数分频器进行程序设计;(3)对于所

2、设计的程序进行编译,检查纠错。(4)程序完善之后进行程序的仿真并进行波形的记录与分析。五.实验参考程序占空比为50%的任意奇数次(5)分频电路LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK:INSTD_LOGIC;--输入时钟信号K_OR,K1,K2:OUTSTD_LOGIC);--输出信号END;ARCHITECTUREbhvOFCNT10ISSIGNALC1,C2:STD_LOGIC_VECTO

3、R(2DOWNTO0);SIGNALM1,M2:STD_LOGIC;BEGINPROCESS(CLK,C1)--敏感信号BEGINIFRISING_EDGE(CLK)THENIF(C1="100")THENC1<="000";ELSEC1<=C1+1;ENDIF;IF(C1="001")THENM1<=NOTM1[ELSIF(C1="011")THENM1<=NOTM1;ENDIF;ENDIF;ENDPROCESS;PROCESS(CLK,C2)BEGINIFFALLING_EDGE(CLK)THENIF(C2="100")THEN

4、C2<="000";ELSEC2<=C2+1;ENDIF;IF(C2="001")THENM2<=NOTM2;ELSIF(C2="011")THENM2<=NOTM2;ENDIF;ENDIF;ENDPROCESS;K1<=M1;K2<=M2;K_OR<=M1ORM2;ENDbhv;六.实验仿真图形

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。