ad转换电路的设计与实现

ad转换电路的设计与实现

ID:14651335

大小:180.15 KB

页数:21页

时间:2018-07-29

ad转换电路的设计与实现_第1页
ad转换电路的设计与实现_第2页
ad转换电路的设计与实现_第3页
ad转换电路的设计与实现_第4页
ad转换电路的设计与实现_第5页
资源描述:

《ad转换电路的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验五AD转换电路的设计与实现(4课时)实验目的1.学习AD0809模数转换芯片的工作原理和接口电路时序特征。2.学习点阵显示器的工作原理和驱动方法。3.掌握利用VHDL语言设计并行总线时序的方法。4.掌握AD芯片通道切换方法和点阵显示器灵活驱动的设计方法。实验原理1.ADC0809接口电路图2.ADC0809芯片工作时序图:地址输入和控制线共4条,ALE为地址锁存允许输入线,高电平有效,当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换,A,B,C为地址输入线,用于选通IN0-IN7的一路模拟量输

2、入,通道选择表如下表所示:CBA选择的通道000IN0001IN1010IN2…………111IN7数字量输出及控制线:11条START为转换启动信号,当START上升沿时,所有内部寄存器清零;下跳沿时,开始进行A/D转换;在转换期间,START应保持低电平。EOC为转换结束信号,当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。D7-D0为数字量输出总线。要注意:实验箱电路板上的D0-D7的标注是反的。即D7应该是最低位,D0应该是最高位。REF(-)接GND,REF(+)接VCC5V。1.电路改进及相应的简化时序ADC0809接口的完全控制时序比较复杂,通

3、过增加三个与门后(实验箱上的实际电路,见上图)可以简化接口时序。电路改进后的时序:CSWRINTRDDATACLOCKS0S1S2S3S34.依据时序图归纳时序状态状态S0:CS=1,WR=1,RD=0(请求转换)状态S1:CS=0,WR=0,RD=0(此时0809进行转换,若转换结束,将INT置1)状态S2:CS=1,WR=0,RD=1(读数据总线值)状态S3:CS=0,WR=0,RD=0(空闲,等待下一次转换启动)5.16*16点阵显示器件列选信号为SEL0-SEL3经4-16译码器后,最右端为第一列;行选信号为L0-L16,最上方为第一行。点阵显示接口对应关系表:S

4、el3Sel2Sel1Sel0点亮列号1111第1列1110第2列1101第3列.....0000第16列实验内容与要求1.设计一个AD0809模数转换芯片的驱动电路,能将A0通道的模拟电压(0-5V)值以16位光柱对应显示,即电压低时光柱矮,电压高时光柱高。此光柱建议采用点阵显示器的一列(16个LED)。(6)2.在上述设计的基础上,扩展显示精度。即采用点阵显示器的256个LED表示5V之内的电压大小(面积表示法)。(3)3.改进电路,使之能测量并分别显示AD0809芯片至少4个通道的电压值。建议采用4个光柱(16级)显示(3分)。相应程序:Libraryieee;Us

5、eieee.std_logic_1164.all;Useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;Entityzjf1isPort(clk,int:instd_logic;data:instd_logic_vector(7downto0);CS,WR,RD:outstd_logic;DX:outstd_logic_vector(15downto0));Endentityzjf1;ArchitectureADC0809ofzjf1isTypestateis(st0,st1,st2,st3,st4,st5,

6、st6);Signalcurrent_state,next_state:state:=st0;Signalzj:std_logic_vector(7downto0);Signalq:std_logic_vector(3downto0);Signallock:std_logic;BeginProcess(clk)Beginif(clk'eventandclk='1')thencurrent_state<=next_state;Endif;Endprocess;Process(current_state,int)isBeginCasecurrent_stateiswhenst

7、0=>CS<='0';WR<='0';RD<='0';lock<='0';next_state<=st1;--chushitaiwhenst1=>CS<='1';WR<='1';RD<='0';lock<='0';next_state<=st2;--qingqiuzhuanhuan--whenst2=>CS<='0';WR<='0';RD<='0';lock<='0';--if(int='0')thennext_state<=st3;--elsenext_state<=st2;--dengdaikaishi--endif;wh

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。