仿真软件在电子技术实验中的应用

仿真软件在电子技术实验中的应用

ID:15216247

大小:280.85 KB

页数:12页

时间:2018-08-02

仿真软件在电子技术实验中的应用_第1页
仿真软件在电子技术实验中的应用_第2页
仿真软件在电子技术实验中的应用_第3页
仿真软件在电子技术实验中的应用_第4页
仿真软件在电子技术实验中的应用_第5页
资源描述:

《仿真软件在电子技术实验中的应用》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、商丘师范学院学士学位毕业设计仿真软件在电子技术实验中的应用摘要当前电子线路已大量采用计算机辅助仿真设计,尤其是电子设计EDA仿真技术。EDA仿真软件中MAX+PlusⅡ仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的4进制加法计数器的设计与仿真,并详细分析和归纳了D触发器的工作原理,以及由D触发器组成的一位4进制加法计数器电路的仿真电路,详细介绍了MAX+PlusⅡ的结构以及设计步骤,并提出了运用先进的MAX+PlusⅡ电子仿真软件

2、对4进制加法计数器的设计过程进行仿真研究,最后对该实验设计结果进行全面的总结。关键词加法计数器;D触发器;仿真SimulationsoftwareintheelectronictechnologyexperimentAbstractTheelectronicdesignedaemulationsoftwareeda.themaxplusiiemulationsoftwaredesignandanalysisisthedigitalcircuitandlogicdesignofsimulatThecurrentelectroniccircu

3、itryareusedbyacomputersimulationsdesign,especiallyionandemulationpackage,useistheelectroniccircuitonlinesimulationtoolsforthedevelopmentoftheproductandincreaseworkingefficiency.thiswillintroduceaedatechnologybasedonfourbinarycounteristhedesignandemulation,anddetailedanaly

4、sisandsummaryofthedtriggers.Keywordsaddingcounter,dflip-flop,imulation10商丘师范学院学士学位毕业设计0引言负跳沿触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。  当前电子线路已大量采用计算机辅助仿真设计,尤其是电子设计EDA((Electr

5、onicDesignAutomation))仿真技术。EDA仿真软件中MAX+plus2仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。 MAX+plus2作为国际上著名的电子设计自动化软件之一,不仅可以用于电路分析和优化设计,与印制版设计软件配合使用,还可实现电子设计自动化,被公认是通用电路模拟程序中最优秀的软件之一。本文以MAX+plus2为工具,对由双D触发器7474构成的一个4进制加法计数器进行仿真分析,得出了一些有价值的结论。1EDA技术及其仿真软件

6、的介绍1.1EDA技术发展概述EDA是以计算机为平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制的电子CAD通用软件包,主要辅助进行三方面的工作:IC设计、电子线路设计以及PCB设计。回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段:20世纪70年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生计算机辅助设计的概念。20世纪80年代为CAE阶段,与CAD相比,除了纯粹的图形设计功能之外,又增加了电路功能设计和结构设计,并且通过电器连接网络表将二者结合在一起,实现了工程设

7、计,这就是计算机辅助设计的概念。CAE的主要功能是:原理图输入,逻辑图仿真,电路分析,自动布局布线,PCB分析。20世纪90年代为EDA阶段,尽管CAD/CAE技术取得了很大的成功,但并没有把人们从繁重的劳动中解放出来。在整个设计过程中,自动化和智能化程度还不高,各种软件界面千差万别,学习使用困难,互不兼容,直接影响到设计环节的衔接。基于以上环节不足,人们开始追求:贯彻整个设计过程的自动化,这就是EDA即电子系统设计自动化。1.2EDA技术在当今电路设计中的应用20世纪90年代以来,电子信息类产品的开发明显出现两个特点:一是产品的复杂程度

8、加深;二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计(主要以数字电路为主),设计的所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的。显然

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。