vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件

vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件

ID:15290828

大小:195.00 KB

页数:51页

时间:2018-08-02

vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件_第1页
vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件_第2页
vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件_第3页
vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件_第4页
vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件_第5页
资源描述:

《vhdl语言的变量、信号、数据类型及运算操作符专题讲座ppt课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第三章VHDL语言的数据类型及运算操作符3.1VHDL语言的客体及其分类在VHDL语言中,可以赋予一个值的的对象就称为客体或对象,它接受不同数据类型的赋值。对象主要包括以下3种:信号(SIGNAL):对应物理意义上是实际电路连接线。--说明全局量变量(VARIABLE):相当于暂存寄存器,变量值不是最终的结果。--说明局部量常数(CONSTANT):如电源、地等,用来描述固定的值。--说明全局量3.1.1常数(Constant)常量是指在设计实体中不会发生变化的值,它可以在很多部分进行说明,并且可以是任何

2、数据类型。常量的定义和设置主要是为了使设计实体中的常数更容易阅读和修改。例如,将位矢的宽度定义为一个常量,只要修改这个常量就能很容易地改变宽度,从而改变硬件结构。在程序中,常量是一个恒定不变的值,一旦作了数据类型的赋值定义后,在程序中不能再改变,因而具有全局意义。格式:CONSTANT常数名:数据类型:=表达式;例:CONSTANTVCC:REAL:=5.0;CONSTANTDALY:TIME:=100ns;CONSTANTBUS:BIT_VECTOR:=“1010”CONSTANTG1:BIT:=‘1’

3、;数据类型和表达式表示的数据类型应该一致。‘’表示是位信息,而“”表示的是位矢量信息。常量定义语句所允许的设计单元有实体、结构体、程序包、块、进程和子程序。在程序包中定义的常量可以暂不设具体数值,它可以在程序包体中设定。常量的可视性,即常量的使用范围取决于它被定义的位置。在程序包中定义的常量具有最大全局化特征,可以用在调用此程序包的所有设计实体中;定义在设计实体中的常量,其有效范围为这个实体定义的所有的结构体;定义在设计实体的某一结构体中的常量,则只能用于此结构体;定义在结构体的某一单元的常量,如一个进程

4、中,则这个常量只能用在这一进程中。总结:在运行中不变,若要改变必须要改变设计,也就是说改变常量说明,重新编译。常量必须在程序的实体、结构体或过程的说明区中,对其标识符类型常量值进行指定。定义在实体中的常量仅在实体中使用。以此类推。3.1.2变量(Variable)变量是局部变量,只能在进程、过程、函数中使用和定义。格式:VARIABLE变量名:数据类型约束条件:=表达式;例:VARIABLEx,y:INTEGERVARIABLEx,y:INTEGERRANGE0TO255:=10在程序中,变量的赋值是立即

5、生效的,不能产生附加延时。tmp1:=tmp2+tmp3AFTER10ns×变量作为局部量,其适用范围仅限于定义了变量的进程或子程序中。仿真过程中惟一的例外是共享变量。变量的值将随变量赋值语句的运算而改变。变量定义语句中的初始值可以是一个与变量具有相同数据类型的常数值,也可以是一个全局静态表达式,这个表达式的数据类型必须与所赋值变量一致。此初始值不是必需的,综合过程中综合器将略去所有的初始值。变量数值的改变是通过变量赋值来实现的,其赋值语句的语法格式如下:目标变量名:=表达式;总结:(1)变量值是直接的,

6、在某一时刻,仅包含一个值。(2)赋值和初始化符号:=(3)变量不能表示连线或存贮元件3.1.3信号(Signal)信号是描述硬件系统的基本数据对象,它类似于连接线。信号可以作为设计实体中并行语句模块间的信息交流通道。在VHDL中,信号及其相关的信号赋值语句、决断函数、延时语句等很好地描述了硬件系统的许多基本特征。如硬件系统运行的并行性;信号传输过程中的惯性延时特性;多驱动源的总线行为等。信号作为一种数值容器,不但可以容纳当前值,也可以保持历史值。这一属性与触发器的记忆功能有很好的对应关系。信号初始值的设置

7、不是必需的,而且初始值仅在VHDL的行为仿真中有效。与变量相比,信号的硬件特征更为明显,它具有全局性特性。信号的说明通常在ARCHITECTURE、PACKAGE、ENTITY中进行的。信号的定义格式如下:SIGNAL信号名:数据类型约束条件:=初始值;示例:SIGNALS1:STD_LOGIG:=0;--定义了一个标准位的单值信号S1,初始值为低电平SIGNALS2,S3:BIT;--定义了两个为BIT的信号S2和S3SIGNALS4:STD_LOGIC_VECTOR(15DOWNTO0);--定义了一

8、个标准位矢的位矢量(数组、总线)信号,共有16个信号元素以下示例定义的信号数据类型是设计者自行定义的,这是VHDL所允许的:TYPEFOURIS(‘X’,‘0’,‘I’,‘Z’);SIGNALS1﹕FOUR;SIGNALS2﹕FOUR:=‘X’;SIGNALS3﹕FOUR:=‘L’;总结:信号大多数均是在实体或结构体的说明区加以说明信号赋值语句“<=”符号重要应用:信号可以在状态机中表示变量端口说明中的对象均为信号类型3.1.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。