fpga 中双向端口io的研究

fpga 中双向端口io的研究

ID:15387893

大小:172.00 KB

页数:13页

时间:2018-08-03

fpga 中双向端口io的研究_第1页
fpga 中双向端口io的研究_第2页
fpga 中双向端口io的研究_第3页
fpga 中双向端口io的研究_第4页
fpga 中双向端口io的研究_第5页
资源描述:

《fpga 中双向端口io的研究》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、FPGA中双向端口I/O的研究·字体大小:小中大作者:莫海永张申科   来源:   日期:2007-03-07   点击:1039摘要:针对现场可编程门阵列(FPGA)芯片的特点,研究FPGA中双向端口I/O的设计,同时给出仿真初始化双向端口I/O的方法。采用这种双向端口的设计方法,选用Xilinx的Spartan2E芯片设计一个多通道图像信号处理系统。引言   FPGA是现场可编程门阵列的缩写,它是在PAL,GAL及EPLD等可编程器件的基础上发展起来的。FPGA具有速度快、密度高、功耗小的特点。采用FPGA芯片进行专用集成电路设计,既可以解决定制电路缺乏灵活性的

2、不足,又可以通过相关的软硬件环境掌握芯片的最终功能,提高一次设计的成功率,所以,目前FPGA在电子设计中已被广泛使用。同时,由于FPGA经常要和外部存储器及CPU进行数据输入输出交换,而利用双向端口的设计来进行数据交换可以成倍地节省各自的引脚资源。   双向端口顾名思义是一种既可以作为输入端口接收数据,也可以作为输出端口发出数据,它对数据的操作是双向的。比如某个设计需要一个16位的数据输入口和一个16位的数据输出口,并且数据输入和输出不会同时发生。如果数据输入口和输出口分别设计则需要32根数据线,而用双向端口来设计,则只需要16根数据线,这样就节省了16根数据线引脚

3、。由于现在的大多数资料对双向端口的设计介绍很少,本文给出FPGA中双向端口的设计原理和方法,以及仿真和初始化双向端口的方法,同时选用Xilinx的Spartan2E芯片进行实际应用。1、FPGA中双向端口的设计原理和Verilog硬件语言程序设计   首先介绍双向端口在FPGA内部硬件资源是怎样实现的。在FPGA中它是通过对三态门控制来实现双向端口的,比如在Xilinx的Spartan2E中的图例(如图1所示): 图1双向端口的硬件图   当z=0时,上面输出的管子开通,此时数据可以从上面的管子中输出,这时双向端口就作为输出口;当z=1时,上面的管子被置为高阻态,数

4、据不能从上面的管子输出,此时数据只可以从下面的管子由外向内输入,这时的双向端口是输入口。限于篇幅,我们做一个简单的模型来说明双向端口的设计。下面我们用Verilog硬件语言进行双向端口的程序设计,为了看出双向端口分别作为输入端口和输出端口的功能,我们的模块分别定义一个数据输入口din和一个数据输出口dout,一个三态门选通信号z,触发时钟clk,还有双向端口dinout。我们设数据为8位宽。图2为该模块图: 图2定义的模块图   输入口din定义:input[7:0]din;当双向端口dinout作为输出口时,我们从din端口输入数据到模块中,让数据从dinout口

5、出来。   输出口dout定义:output[7:0]dout;当双向端口dinout作为输入口时,我们让数据从dinout口输入,从输出口dout输出。   双向端口dinout定义:inout[7:0]dinout;三态门选通信号z:inputz;   当z=1时,把三态门置为高阻态,这时dinout作为输入口用;当z=0时,开通三态门,这时dinout作为输出口用。    三态门控制语句为:asigndinout=(!z)?din_reg:8'bz;    总的完整程序如下:moduledinout(din,z,clk,dout,dinout);input[7

6、:0]din;inputz;inputclk;output[7:0]dout;inout[7:0]dinout;reg[7:0]dout;reg[7:0]din_reg;asigndinout=(!z)?din_reg:8'bz;always@(posedgeclk)beginif(!z)  din_reg=din;else  dout=dinout;end  Endmodule2、仿真及初始化双向端口  下面我们对上述程序进行时序仿真。这里我们选用的FPGA芯片为Xilinx的Spartan2E系列,型号为xc2s300e-7pq208,在ISEFoundatio

7、n6.1软件中综合及布局布线,并用ModelsimSimulator进行时序仿真。  当双向端口dinout作为输出口时,我们不需要对它进行初始化,只要开通三态门。  我们设定在200ns后,让数据10,11,12,13,14,15,16,17,18,19,20依次从din口输入,然后用20ns的采样时钟从dinout口输出。   它的测试仿真顶层模块为`timescale1ns/1psmoduledinoutest();reg[7:0]din;regz;regclk;wire[7:0]dout;wire[7:0]dinout;integeri;dinoutuu

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。