实验六 序列信号发生器与序列信号检测器的设计1

实验六 序列信号发生器与序列信号检测器的设计1

ID:17645511

大小:771.50 KB

页数:6页

时间:2018-09-04

实验六 序列信号发生器与序列信号检测器的设计1_第1页
实验六 序列信号发生器与序列信号检测器的设计1_第2页
实验六 序列信号发生器与序列信号检测器的设计1_第3页
实验六 序列信号发生器与序列信号检测器的设计1_第4页
实验六 序列信号发生器与序列信号检测器的设计1_第5页
资源描述:

《实验六 序列信号发生器与序列信号检测器的设计1》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验六、序列信号发生器与序列信号检测器的设计一、实验目的1、掌握序列发生器和检测器的工作原理;2、初步学会用状态机进行数字系统设计。二、实验要求1、基本要求1)设计一个“10001110”序列发生器;2)设计一个“10001110”序列的检测器。2、扩展要求1)设计一个序列发生器,将8位待发生序列数据由外部控制输入进行预置,从而可随时改变输出序列数据。2)将8位待检测预置数由按键作为外部输入,从而可随时改变检测密码。写出该检测器的VHDL代码,并进行编译下载测试。3)如果待检测预置数以右移方式进入序列检测器,写出该检测器的VHDL代码(两进程符号化有限状态机)。

2、三、实验原理1、序列发生器原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,产生序列信号的电路称为序列信号发生器。本实验要求产生一串序列“10001110”。该电路可由计数器与数据选择器构成,其结构图如图6-1所示,其中的锁存输出的功能是为了消除序列产生时可能出现的毛刺现象:Clock计数器序列产生(数据选择器)锁存输出图6-1序列发生器结构图2、序列检测器的基本工作过程:序列检测器用于检测一组或多组由二进制码组成的脉冲序列信号,在数字通信中有着广泛的应用。当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则

3、输出1,否则输出0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。状态图如图6-2所示:图6-2序列检测器状态图3、利用状态机设计序列检测器的基本思想在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。一般来说,标准状态机可以分为摩尔(Moore)机和米立(Mealy)机两种。在摩尔机中

4、,其输出仅仅是当前状态值的函数,并且仅在时钟上升沿到来时才发生变化。米立机的输出则是当前状态值、当前输出值和当前输入值的函数。本实验要从一串二进制码中检测出一个已预置的8位二进制码10001110,每增加一位二进制码相当于增加一个状态,再加上一个初始态,用9个状态可以实现。其状态机如图6-3所示。图6-38位二进制码10001110的检测状态机注意:此图作为参考,检测不同的二进制码其过程不同!四、实验步骤1、建立一个工程项目,路径如:D:20050837sixth,项目名和顶层实体名为serial;2、设计一个“10001110”的序列发生器,并进行编译仿真

5、与下载测试;3、根据图6-3状态转换图设计一个“10001110”的序列检测器。并进行编译仿真与下载测试;五、参考程序1、“10001110”序列发生器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSENQGENISPORT(CLK,CLR,CLOCK:INSTD_LOGIC;YOUT:OUTSTD_LOGIC);ENDSENQGEN;ARCHITECTUREARTOFSENQGENISSIGNALCO

6、UNT:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALY:STD_LOGIC:=‘0’;BEGINPROCESS(CLK,CLR)BEGINIF(CLR=‘1’)THENCOUNT<="000";ELSIF(CLK=‘1’ANDCLK'EVENT)THENCOUNT<=COUNT+‘1’;ENDIF;ENDPROCESS;PROCESS(COUNT)BEGINCASECOUNTISWHEN"000"=>Y<=‘1’;WHEN“001”=>Y<=‘0’;WHEN"010"=>Y<=‘0’;WHEN"011"=>Y<=‘0’;WHEN"100"=

7、>Y<=‘1’;WHEN"101"=>Y<=‘1’;WHEN“110”=>Y<=‘1’;WHEN“111”=>Y<=‘0’;WHENOTHERS=>Y<=‘-’;ENDCASE;ENDPROCESS;PROCESS(CLOCK,Y)BEGIN--消除毛刺的锁存器IF(CLOCK'EVENTANDCLOCK=‘1’)THENYOUT<=Y;ENDIF;ENDPROCESS;ENDART;2、“10001110”序列信号检测器的VHDL描述LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDETECTISPORT(DIN,RS

8、T,CLK:INSTD_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。