eda考试卷(带答案)

eda考试卷(带答案)

ID:17946425

大小:178.00 KB

页数:6页

时间:2018-09-11

eda考试卷(带答案)_第1页
eda考试卷(带答案)_第2页
eda考试卷(带答案)_第3页
eda考试卷(带答案)_第4页
eda考试卷(带答案)_第5页
资源描述:

《eda考试卷(带答案)》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、2008~2009学年第一学期EDA技术A卷适用:06级电子信息工程专业EDA技术A一、填空题:(共20分,每空1分)1、在VHDL程序设计中,常用的库有(IEEE库)(STD)(WORK)库等。2、Max_plusII为原理图输入设计配备了各种需要的元件库,它们分别是(基本逻辑元件库)(宏功能元件库)(宏功能块LPM库)。3、采用原理图输入设计的文件后缀为(.gdf),采用波形图输入设计的文件后缀为(.wdf)4、在VHDL中的数值类属性测试函数主要有(left)(right)(high)和LOW。5

2、、FPGA/CPLD的设计流程为(设计输入)、(综合)(适配)(时序仿真与功能仿真)(编程下载)(硬件测试)。6、若D<=“11”&‘00’&“01”,则D的值为(“110001”)。7、若定义W:BUFFERSTD_LOGIC_VECTOR(0TO5),程序中有W<=“100111”;则W(2)的值为(0)。8、定义signalf,g:std_logic_vector(5downto0);若f的值为“101011”,若执行g<=(5=>f(1),4=>’1’,others=>f(4));则g的值是(1

3、10000)。二、简答题:(共10分)1、VHDL程序一般包括几个组成部分,每部分的作用是什么?答:VHDL程序一般包括3个组成部分,它们是(1)实体,它描述的是电路器件的端口构成和信号属性;(2)结构体,描述设计实体的内部结构和外部设计实体端口间的逻辑关系;(3)库及程序包的声明,在设计实体中的语句可以使用库中相应程序包的数据和文件。2、什么叫顺序语句,它的适用范围是什么?VHDL有那几种基本的顺序语句?答:执行顺序与它们的书写顺序基本一致的语句叫顺序语句,顺序语句只能出现在进程和子程序中,子程序包括

4、函数和过程。Vhdl有六类基本顺序语句:赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句、空操作语句。三、改错,请指出下列描述中的语法错误并改正(10分)LIBRARYIEEE;--缺分号USEIEEE.STD_LOGIC_1164.ALL;--缺程序包名ENTITYh_adderIS--实体名不一致PORT(a,b:INSTD_LOGIC;co,so:OUTSTD_LOGIC);---分号放外边ENDENTITYh_adder;ARCHITECTUREfh1OFh_adderissignal

5、abc:STD_LOGIC_vector(1downto0);--改成signal且数据宽度不对BEGINabc<=a&b;PROCESS(abc)Begin---缺beginCASEabcISWHEN"00"=>so<='0';co<='0';6WHEN"01"=>so<='1';co<='0';WHEN"10"=>so<='1';co<='0';--串行数据用单引号WHEN"11"=>so<='0';co<='1';--并行数据用双引号WHENOTHERS=>NULL;Endcase;--缺endc

6、ase;ENDPROCESS;ENDARCHITECTUREfh1;--结构体名不一致四、编程题(60分)1、UseVHDLlanguagetorealizethelogicfunctionoffigure(5分)Libraryieee;Useieee.std_logic_1164.all;EntityMULTI_DFFisPort(CLK,A,D: INSTD_LOGIC;QQ :OUTSTD_LOGIC ) ;ENDMULTI_DFF ;ARCHITECTUREbhvOFMULTI_DFFISSIG

7、NALQ1,Q2:STD_LOGIC;BEGINPRO1:PROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENQ1<=NOT(Q2ORA);ENDIF;ENDPROCESS;PRO2:PROCESS(Q1)BEGINIFQ1'EVENTANDQ1='1'THENQ2<=D;ENDIF;QQ<=Q2;ENDPROCESS;2、用for_loop语句实现一个16位的串入并出移位寄存器。(10分)libraryIEEE;useIEEE.std_logic_1164.all;us

8、eIEEE.std_logic_unsigned.all;useIEEE.std_logic_arith.all;entitychuan_bingisport(load:instd_logic;d_in:instd_logic;d_out:bufferstd_logic_vector(15downto0);clk:instd_logic);endchuan_bing;architecturearchofchuan_bingissignall

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。