数字电子电路07735

数字电子电路07735

ID:18337212

大小:107.37 KB

页数:5页

时间:2018-09-16

数字电子电路07735_第1页
数字电子电路07735_第2页
数字电子电路07735_第3页
数字电子电路07735_第4页
数字电子电路07735_第5页
资源描述:

《数字电子电路07735》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、2012-2013学年第2学期期末考试试题答案及评分标准(A卷)数字电子电路(须与教学任务书相同)使用班级:11050641、11050642、11050643、11届6专业实验班得分一、填空题(共分,每空分)1、CMOS门电路的输入端悬空时相当于输入为逻辑1。()2、普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。()3、OD门(漏极开路门)的输出端可以直接相连,实现线与。()4、三态门的三种状态分别为:高电平、低电平、不高不低的电压。()5、施密特触发器可用于将三角波变换成正弦

2、波。()6、单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。()7、用2片容量为16K×8b的RAM构成容量为32K×8b的RAM使用的方法是位扩展。()8、ROM和RAM中存入的信息在电源断掉后都不会丢失。()9、存储器字数的扩展可以利用外加译码器控制数个芯片的片选输入端来实现。()10、D/A转换器的最大输出电压的绝对值可达到基准电压。()11、一个n位逐次逼近型A/D转换器完成一次转换要进行n次比较,需要n+2个时钟脉冲。()12、一个VHDL程序中仅能使用一个进程(process)语句

3、。()13、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体。()14、在一个实体的端口方向说明时,输入使用OUT表示,构造体内部不能再使用的输出是用IN表示。()15、VHDL语言和C语言一样区分大小写。()得分二、选择题(共分,每题分)1、以下电路中可以实现“线与”功能的有()。A.与非门B.三态输出门C.集电极开路门D.漏极开路门2、以下电路中常用于总线应用的有()。A.TS门B.OC门C.漏极开路门D.CMOS与非门3、能实现分时传数据逻辑功能的是()。A.TTL与非门

4、B.三态逻辑门5C.集电极开路门D.CMOS反相逻辑门4、多谐振荡器可产生()。A.正弦波B.矩形脉冲C.三角波D.锯齿波5、用555定时器组成施密特触发器,当输入控制端CO外接10V电压时,回差电压为()。A.3.33VB.5VC.6.66VD.10V6、为了将正弦信号转换成与之频率相同的脉冲信号,可采用()。A.多谐振荡器B.移位寄存器C.单稳态触发器D.施密特触发器7、寻址容量为16K×8b的RAM需要()根地址线。A.4B.8C.14D.16E.16K8、某存储器具有8根地址线和8根双向

5、数据线,则该存储器的容量为()。A.8×3bB.8K×8bC.256×8bD.256×256b9、ROM中的内容,当电源断掉后又接通,存储器中的内容()。A.全部改变B.全部为0C.不可预料D.保持不变10、4位倒T型电阻网络型D/A转换器的电阻网络的电阻取值有()种。A.1B.2C.4D.811、为使采样输出信号不失真地代表输入模拟信号,采样频率和输入模拟信号的最高频率的关系是()。A.≥B.≤C.≥2D.≤212、将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为()。A

6、.采样B.量化C.保持D.编码13、若某A/D转换器取量化单位,并规定对于输入电压,当≤<时,输入的模拟电压量化为0V,输出的二进制数为000,则当≤<时,输出的二进制数为()。A.001B.101C.110D.11114、 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述()。A. 器件外部特性;B. 器件的内部功能;C. 器件的综合约束;D. 器件外部特性与内部功能。15、进程中的信号赋值语句,其信号更新是()。A. 按顺序完成;B. 比变量更快完

7、成;C. 在进程的最后完成;D. 都不对。得分三、分析题(10分)5由集成定时器555构成的电路如图1所示,请回答下列问题:(1)构成电路的名称;(2)已知输入信号波形uI,画出电路中uO的波形(标明uO波形的脉冲宽度);图1得分四、简答题(10分)试用ROM实现下列组合逻辑函数,画出阵列图。得分五、填空题(10分,每空2分)完成下图所示的触发器。5CLRCLKDQQNlibraryIEEE;useIEEE.std_logic_1164.all;entityVposDffisport();end

8、VposDff;architectureVposDff_archofVposDffisbeginprocess()beginifCLR='1'thenQ<='0';QN<='1';elsifCLK'eventandCLK='1'thenendif;endVposDff_arch;得分六、程序编写题(15分)三态门电原理图如右图所示,请完成其VHDL程序编写。5得分七、程序注释题(10分)给下列每一行VHDL描述都进行注释(答题时不必抄题,标注各行语句的编号后进行相应的解释即可。10分)1.LIB

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。