华科计算机数字逻辑实验报告 计数器的设计new

华科计算机数字逻辑实验报告 计数器的设计new

ID:18520774

大小:77.50 KB

页数:6页

时间:2018-09-18

华科计算机数字逻辑实验报告  计数器的设计new_第1页
华科计算机数字逻辑实验报告  计数器的设计new_第2页
华科计算机数字逻辑实验报告  计数器的设计new_第3页
华科计算机数字逻辑实验报告  计数器的设计new_第4页
华科计算机数字逻辑实验报告  计数器的设计new_第5页
资源描述:

《华科计算机数字逻辑实验报告 计数器的设计new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验名称:计数器的设计专业班级:学号:姓名:同组成员:指导教师:报告日期:2013.6.111、系统需求PC个人计算机ISE14.2软件D.V文件D.UCF文件USB下载线Adept软件开发板Basys22、实验内容:用电平异步时序逻辑电路,实现上升沿触发的D触发器(无空翻).需要一个复位信号RESET,和一个置位信号RET,均为低电平有效.接线:输入信号:DIN[0]--------接板子上SW0(FPGA内部“p11”);DIN[1]--------FPGA内部L3DIN[2]--------

2、FPGA内部K3DIN[3]--------FPGA内部B4CLK---------FPGA内部“A7”LOAD-----FPGA内部F3CLR--------FPGA内部E2M-----------FPGA内部N3输出信号:DOUT[0]-----FPGA内部M5DOUT[1]-----FPGA内部M11DOUT[2]-----FPGA内部P7DOUT[3]-----FPGA内部P6QCC-----------FPGA内部G13、实验目的:当你完成整个项目之后,你将学会以下的功能.(1)利用IS

3、E14.2的软件开启一个Spartan3E的项目.(2)撰写一个简单的Schematic原理图,利用语法检查器(SyntaxCheck)来修正语法的错误(3)产生测试模板(TestBench)来辅助你的设计.(4)加入系统所需的Constraints文件.(UCFfile)(5)完成整个设计流程.并产生D.bit文件。(6)利用Adept软件来烧录D.bit文件到FPGA.4、实验过程4.1verilog代码`timescale1ns/1psmoduleADDER(LOAD,CLR,CLK,M,D

4、IN,DOUT,QCC);inputLOAD;wireLOAD;inputCLR;wireCLR;inputCLK;inputM;wireM;input[3:0]DIN;wire[3:0]DIN;outputQCC;regQCC;output[3:0]DOUT;wire[3:0]DOUT;reg[3:0]counter;assignDOUT=counter;always@(posedgeCLKornegedgeLOADornegedgeCLR)beginif(!CLR)counter<=0;els

5、eif(!LOAD)counter<=DIN;elsebeginif(M==1)beginif(counter==4'b1111)begincounter<=4'b0000;QCC=0;endelsebegincounter<=counter+1;QCC=1;endendelsebeginif(counter==4'b0000)begincounter<=4'b1111;QCC=0;endelsebegincounter<=counter-1;QCC=1;endendendendendmodule

6、4.2设计仿真4.2.1编辑激励:`timescale1ns/1psmoduletest;//InputsregLOAD;regCLR;regCLK;regM;reg[3:0]DIN;//Outputswire[3:0]DOUT;wireQCC;//InstantiatetheUnitUnderTest(UUT)ADDERuut(.LOAD(LOAD),.CLR(CLR),.CLK(CLK),.M(M),.DIN(DIN),.DOUT(DOUT),.QCC(QCC));always#20CLK=~

7、CLK;initialbegin//InitializeInputsLOAD=0;CLR=0;CLK=0;M=0;DIN=0;#10CLR=1;#15LOAD=1;#500M=1;//Wait1000nsforglobalresettofinish#1000;//Addstimulushereendendmodule4.2.2仿真模拟图图1:M=0(做减法)的仿真结果图2:M=1(做加法)的仿真结果4.3创建约束Ucf文件内容:#PlanAheadGeneratedphysicalconstrai

8、ntsNET"DIN[0]"LOC=P11;NET"DIN[1]"LOC=L3;NET"DIN[2]"LOC=K3;NET"DIN[3]"LOC=B4;NET"DOUT[0]"LOC=M5;NET"DOUT[1]"LOC=M11;NET"DOUT[2]"LOC=P7;NET"DOUT[3]"LOC=P6;NET"CLK"LOC=A7;NET"CLR"LOC=E2;NET"LOAD"LOC=F3;NET"M"LOC=N3;NET"QCC"LOC=G1;4.4下载到板子5.感想这是参考

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。