eda技术试卷一 答案new

eda技术试卷一 答案new

ID:18953482

大小:405.00 KB

页数:5页

时间:2018-09-27

eda技术试卷一 答案new_第1页
eda技术试卷一 答案new_第2页
eda技术试卷一 答案new_第3页
eda技术试卷一 答案new_第4页
eda技术试卷一 答案new_第5页
资源描述:

《eda技术试卷一 答案new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、☆☆密封线内不要答题☆☆姓名学号班级本套试卷共5页一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A.CPLD是基于查找表结构的可编程逻辑器件B.CPLD即是现场可编程逻辑器件的英文简称C.早期的CPLD是从FPGA的结构扩展而来D.在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④B.②①④③C.④③②①D.②④③①3.

2、下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程

3、D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______DA.IEEE库B.VITAL库C.STD库D.WORK工作库☆☆密封线内不要答题☆☆姓名学号班级1.VHDL语

4、言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。BA.器件外部特性;B.器件的内部功能;C.器件的综合约束;D.器件外部特性与内部功能。2.下列语句中,不属于并行语句的是:_______BA.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句10.下列标识符中,__________是不合法的标识符。BA.State0B.9moonC.Not_Ack_0D.signall二、EDA名词解释或者简述(10分)写出下列缩写的中文(或者英文)含义:1.ASIC专用集成电路2.FPGA现场可编程门阵列3.IP知识产权

5、核(软件包)4.FSM有限状态机5.HDL硬件描述语言三、VHDL程序填空:(20分)1.以下程序是一个BCD码表示0~99计数器的VHDL描述,试补充完整。(10分)答案:答案:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt100bisport(clk,rst,en:instd_logic;cq:outstd_logic_vector(7downto0);--计数输出cout:outstd_logic);--进位输出endentitycnt100b;architectu

6、rebhvofcnt100bisbeginprocess(clk,rst,en)variablecqi:std_logic_vector(7downto0);beginifrst='1'thencqi:=(others=>‘0’);--计数器清零复位elseifclk’eventandclk=‘1’then--上升沿判断ifen='1'thenifcqi(3downto0)<"1001"then--比较低4位cqi:=cqi+1;--计数加1elseifcqi(7downto4)<"1001"then--比较高4位cqi:=cqi+16;elsecqi:=(others=>'0')

7、;endif;cqi(3downto0):=“0000”;--低4位清零endif;endif;endif;endif;ifcqi=“10011001”then--判断进位输出cout<='1';elsecout<='0';endif;cq<=cqi;endprocess;endarchitecturebhv;☆☆密封线内不要答题☆☆姓名学号班级2.VHDL程序填空:下面程序是n输入与门的VHDL描述,试补充完整。(10分)__________ieee;use____

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。