systemverilog_断言_快速教程

systemverilog_断言_快速教程

ID:21415958

大小:32.92 KB

页数:9页

时间:2018-10-21

systemverilog_断言_快速教程_第1页
systemverilog_断言_快速教程_第2页
systemverilog_断言_快速教程_第3页
systemverilog_断言_快速教程_第4页
systemverilog_断言_快速教程_第5页
资源描述:

《systemverilog_断言_快速教程》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、^~Bind:veryusefulinsystemverilog.Assertion:1.##“a##3b”意思是a之后3个周期b….2.“

2、->”表示如果先行算子匹配,后序算子在同一周期开始计算3.“

3、=>”表示如果先行算子匹配,后序算子在下一个周期开始计算4.重复操作符:*连续重复“[*m]”:“a[*1:3]”表示a被连续重复1~3次**跳转重复“[->]”:“a[->3]”表示a被跳转重复3次***非连续重复“[=m]”:“a[=3]”表示a被非连续重复3次芯片设计:verilog断言(SV

4、A)语法    断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的语法:1.SVA的插入位置:在一个.v文件中:                moduleABC();                   rtl代码                   SVA断言                endmodule   注意:不要将SVA写在enmodule外面。2.断

5、言编写的一般格式是:   【例】断言名称1:assertproperty(事件1)      //没有分号          $display("........",$time);            //有分号          else          $display("........",$time);            //有分号          断言名称2:assertproperty(事件2)          $display("........",$time);     

6、     else          $display("........",$time);^~   断言的目的是:断定“事件1”和“事件2”会发生,如果发生了,就记录为pass,如果没发生,就记录为fail。注意:上例中没有if,只有else,断言本身就充当if的作用。   上例中,事件1和事件2可以用两种方式来写:   (1)序列块: sequencename;                      。。。。。。。。。;                 endsequence   (2)属性

7、块:propertyname;                      。。。。。。。。。;                endsequence    从定义来讲,sequence块用于定义一个事件(砖),而property块用于将事件组织起来,形成更复杂的一个过程(楼)。sequence块的内容不能为空,你写乱字符都行,但不能什么都没有。sequence也可以包含另一个sequence,如:                    sequences1;                     

8、   s2(a,b);                    endsequence //s1和s2都是sequence块    sequence块和property块都有name,使用assert调用时都是:“assertproperty(name);”    在SVA中,sequence块一般用来定义组合逻辑断言,而property一般用来定义一个有时间观念的断言,它会常常调用sequence,一些时序操作如“

9、->”只能用于property就是这个原因。        注:以下介绍的SVA语法

10、,既可以写在sequence中,也可以写在property中,语法是通用的。3.带参数的property、带参数的sequence   property也可以带参数,参数可以是事件或信号,调用时写成:assertproperty(p1(a,b))   被主sequence调用的从sequence也能带参数,例如从sequence名字叫s2,主sequence名字叫s1:          sequences1;             s2(a,b);          endsequence^~4

11、.property内部可以定义局部变量,像正常的程序一样。           propertyp1;              intcnt;              .....................           endproperty【注】在介绍语法之前,先强调写断言的一般格式:    一般,断言是基于时序逻辑的,单纯进行组合逻辑的断言很少见,因为太费内存(时序逻辑是每个时钟周期判断一次,而组合逻辑却是每个时钟周期内判断多次,内存吃不消)。  

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。