第5章 基于fpga的dsp开发技术 sopc技术与应用

第5章 基于fpga的dsp开发技术 sopc技术与应用

ID:21798449

大小:1.71 MB

页数:40页

时间:2018-10-24

第5章 基于fpga的dsp开发技术  sopc技术与应用_第1页
第5章 基于fpga的dsp开发技术  sopc技术与应用_第2页
第5章 基于fpga的dsp开发技术  sopc技术与应用_第3页
第5章 基于fpga的dsp开发技术  sopc技术与应用_第4页
第5章 基于fpga的dsp开发技术  sopc技术与应用_第5页
资源描述:

《第5章 基于fpga的dsp开发技术 sopc技术与应用》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、DSPBuilder可以帮助用户完成基于FPGA的DSP系统设计,除了可以进行图形化的系统建模外,DSPBuilder还可以自动完成大部分的设计过程和仿真,直至把设计文件下载到FPGA芯片中。第1节基于MATLAB/DSPBuilder的DSP模块设计流程DSPBuilder是一个系统级(算法级)设计工具,但同时它把系统级(算法仿真建模)和RTL级(硬件实现)的设计工具连接起来,使算法开发到硬件的实现可以无缝地过渡。使用Matlab/DSPBuilder进行DSP系统的开发必须要安装Matlab和DSPBuilder软件。        DSPBuilder设计包括两

2、套流程:自动流程和手动流程:设计流程的第一步       在Matlab/Simulink中进行设计输入,在Matlab/Simulink中建立一个模型文件(mdl文件),用图形方式调用DSPBuilder和其它Simulink库中的模块,构成系统级或算法级设计框图。利用Simulink的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。第一步设计同一般的Matlab/Simulink建模过程几乎没什么区别,所不同的是,设计采用了DSPBuilder库。设计流程第二步       通过SignalCompiler把Simulink的模型文件转化为硬件描述语言

3、文件,以供其它的EDA(QuartusII、ModelSim等)软件处理,这些软件不能直接处理Matlab/Simulink产生的模型文件,那么DSPBuilder中的SignalCompiler模块用于完成模型文件到硬件描述语言文件的转换,转换之后的HDL文件是RTL级(寄存器传输级,即可综合的格式)。设计流程的第三步       执行RTL级的仿真,DSPBuilder支持自动流程的ModelSim仿真。用户也可以利用第二步产生的VHDL文件使用其它的仿真工具软件手动地进行仿真。设计流程的第四步       使用第二步SignalCompiler产生的VHDL文件

4、进行RTL级的综合,网表产生和适配等处理,DSPBuilder支持自动流程和手动流程两种方式:自动流程中可以选择让DSPBuilder自动调用QuartusII等EDA软件来完成相应的工作;手动模式允许用户选择相应的软件来完成相应的工作,手动模式需要更多的干预,同时提供了更大的灵活性,用户可以指定综合、适配等过程的条件。第三步和第四步可以不分先后。设计流程的第五步       在QuartusII中编译用户的设计,最后将设计下载,进行测试验证。        经过测试、验证的设计可以单独执行相应的DSP功能。如果DSPBuilder产生的DSP模型只是整个设计中的一个

5、子模块,那么可以在设计中调用DSPBuilder产生的VHDL文件,以构成完成的设计。第2节正弦发生器模块的设计通过本例的学习可以掌握DSPBuilder的使用方法。这个简单的正弦波发生器,主要由4部分构成:IncCount是阶梯信号发生模块,产生一个按时钟线性递增的地址信号,送往SinLUT。SinLUT是一个正弦函数值的查找表模块,由递增的地址获得正弦波的离散值输出。由SinLUT输出的8位正弦波数据经过一个延时模块Delay后,送往Product乘法模块,与SinCtrl相乘,SinCtrl是一位输入,SinCtrl通过Product完成对正弦波输出有无的控制。

6、SinOut是整个正弦波发生器模块的输出,送往D/A即可获得正弦波模拟输出信号。5.2.1建立设计模型(1)运行Matlab,Matlab的主窗口被分成3部分:CommandWindow、Workspace/CurrentDirectory、CommandHistory。(2)建立工作目录。在建立一个新的设计模型前,先要建立一个文件夹,作为工作目录,来保存相应的设计文件,在进行设计之前要先切换到该文件夹下。新建和切换到工作目录可以在命令窗口中使用Matlab命令,也可以在CurrentDirectory窗口中实现。(3)启动Simulink,建立模型。在命令窗口中,键

7、入Simulink,按回车键,启动Matlab图形化仿真工具Simulink,出现了SimulinkLibraryBrowser窗口,在窗口的左侧为SimulinkLibrary列表,右侧窗口显示的则是,被选中的库中的组件、子模块列表。安装完DSPBuilder之后,在Simulink库列表中可以看到AlteraDSPBuilder的库出现在列表中。在下面设计中,主要使用该库中的组件、模块来完成各项设计,再使用Simulink库来完成模型的仿真和验证。选择File菜单,然后单击new,在弹出的子菜单中选择Model,出现了一个未命名的模型窗口。(4)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。