西门子plc stl编程小手册

西门子plc stl编程小手册

ID:21813026

大小:47.00 KB

页数:6页

时间:2018-10-24

西门子plc stl编程小手册_第1页
西门子plc stl编程小手册_第2页
西门子plc stl编程小手册_第3页
西门子plc stl编程小手册_第4页
西门子plc stl编程小手册_第5页
资源描述:

《西门子plc stl编程小手册》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、输入继电器I输出继电器Q通用辅助继电器(位存储区)MMB:字节MW:字MD:双字节特殊继电器SM变量存储器VVB:字节VW:字VD:双字节局部变量存储器L顺序控制继电器S定时器TTON:接通延时定时器TONR:有记忆接通延时定时器TOF:断开延时定时器计数器C模拟量输入映像寄存器AI模拟量输出映像寄存器AQ高速计数器HC累加器AC3.指令集表      布尔指令       LD    N装载(开始的常开触点)       LDI    N立即装载       LDN    N取反后装载(开始的常闭触点)       LDNI   

2、 N取反后立即装载                              A      N与(串联的常开触点)       AI    N立即与       AN    N取反后与(串联的常开触点)      ANI    N取反后立即与                              O      N或(并联的常开触点)       OI    N立即或      ON    N取反后或(并联的常开触点)      ONI    N取反后立即与                               LDBx  

3、  N1,N2装载字节比较结果N1(x:<,<=,=,>=,>,<>=)N2      ABx    N1,N2与字节比较结果N1(x:<,<=,=,>=,>,<>=)N2      OBx    N1,N2或字节比较结果N1(x:<,<=,=,>=,>,<>=)N2       LDWx    N1,N2装载字比较结果N1(x:<,<=,=,>=,>,<>=)N2       AWx    N1,N2与字节比较结果N1(x:<,<=,=,>=,>,<>=)N2       OWx    N1,N2或字比较结果N1(x:<,<=,=

4、,>=,>,<>=)N2       LDDx    N1,N2装载双字比较结果N1(x:<,<=,=,>=,>,<>=)N2       ADx    N1,N2与双字比较结果N1(x:<,<=,=,>=,>,<>=)N2      ODx    N1,N2或双字比较结果N1(x:<,<=,=,>=,>,<>=)N2      LDRx    N1,N2装载实数比较结果N1(x:<,<=,=,>=,>,<>=)N2      ARx    N1,N2与实数比较结果N1(x:<,<=,=,>=,>,<>=)N2       ORx 

5、   N1,N2或实数比较结果N1(x:<,<=,=,>=,>,<>=)N2                  NOT栈顶值取反      EU上升沿检测      ED下降沿检测                  =    N赋值(线圈)      =I    N立即赋值      S    S_BIT,N置位一个区域     R    S_BIT,N复位一个区域      SI    S_BIT,N立即置位一个区域      RI    S_BIT,N立即复位一个区域                         传送、移位、

6、循环和填充指令      MOVB  IN,OUT字节传送      MOVW  IN,OUT字传送      MOVD  IN,OUT双字传送      MOVR  IN,OUT实数传送      BIR    IN,OUT立即读取物理输入字节      BIW    IN,OUT立即写物理输出字节                             BMB    IN,OUT,N字节块传送      BMW    IN,OUT,N字块传送      BMD    IN,OUT,N双字块传送                  

7、          SWAP  IN交换字节      SHRB  DATA,S_BIT,N移位寄存器      SRB    OUT,N字节右移N位      SRW    OUT,N字右移N位      SRD    OUT,N双字右移N位                              SLB    OUT,N字节左移N位      SLW    OUT,N字左移N位      SLD    OUT,N双字左移N位                             RRB    OUT,N字节右移N位     

8、 RRW    OUT,N字右移N位      RRD    OUT,N双字右移N位                             RLB    OUT,N字节左移N位      RLW    OUT,N字左移N位     

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。