三人表决器五人表决器的实验报告

三人表决器五人表决器的实验报告

ID:22204635

大小:187.00 KB

页数:5页

时间:2018-10-27

三人表决器五人表决器的实验报告_第1页
三人表决器五人表决器的实验报告_第2页
三人表决器五人表决器的实验报告_第3页
三人表决器五人表决器的实验报告_第4页
三人表决器五人表决器的实验报告_第5页
资源描述:

《三人表决器五人表决器的实验报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、三人表决器、五人表决器的实脸报告一实验目的1.熟悉QuartusII软件的基木操作2.学W使用VerilogHDL进行设计输入3.逐步掌握软件输入、编译、仿真的过程二实验说明本次实验是要设计一个三人表决器。该电路应有两个数据输入端口blb1,b2,b3,电路的输出端口为voter(ub2voteru输出信号)。b3三人表决器真值表:输入信号输出信号BlB2B3u00000010010001111000101111011111逻辑表达式:U=/?lh2b2b3blb3三实验要求1、完成三人表决器的VeHl

2、ogHDL程序代码输入并进行仿真2、采用结构描述方式和数据流描述方式3、完成对设计电路的仿真验证四、实验过程(1)三人表决器:程序代码voter,v□modulevoter(A,C,Y);inputA,B,C;outputY;wire[1:0]add_result;assignesult=A+B+C;assignY=(add_result>=2)?11tol:11toO;endmodule仿真结果五人表决器:程序代码Hmodulethree_voter_ex;inpUtAjrB.rC^D.rE;outp

3、utY;wire[1:0]adLd_resu11;assign沒dd_i:esult=A+B+C+D+EfassignY=(a_clil_result:>=3)?11to1:11toO;endrnociule

4、仿真结果五、实验体会通过三人表决器和丑人表决器的设计,使我们更加熟悉Quartus软件进行数字系统设计的步骤,以及运用VerilogHDL进行设计输入,并掌握三人表决器和五人表决器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。三人表决器和五人表决器人体相似,并没有太大的区别。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。