基于fpga的fsk调制解调设计

基于fpga的fsk调制解调设计

ID:22855325

大小:669.45 KB

页数:34页

时间:2018-11-01

基于fpga的fsk调制解调设计_第1页
基于fpga的fsk调制解调设计_第2页
基于fpga的fsk调制解调设计_第3页
基于fpga的fsk调制解调设计_第4页
基于fpga的fsk调制解调设计_第5页
资源描述:

《基于fpga的fsk调制解调设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、摘要FPGA是现场可编程门阵列(FieldProgrammableGateArray)的简称。它具有可编程逻辑器件现场可编程的灵活性,又有门陈列器件功能强、高集成度和高速度的优点,因此已在现代通信系统设计中被越来越广泛的应用。VI1DL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。论文着重使用VHDL语言对2FSK的调制与解调进行编程。在系统仿真中,用MAX+PLUSII作为仿真平台,对2FSK信号进行了调制解调的仿真。调制方面用的键控法,解调方面用的相干解调进行解调。基于FPGA的2

2、FSK调制与解调在MAX+PLUSII上实现,通过V11DL语言的编程,生成调制解调所需要的几个模块,以实现整个2FSK的调制解调系统。本论文共分四章,第一章主要是介绍了FPGA的原理以及它的应用、发展现状,另外介绍了VHDL语言的特点;第二章较为详细的讲述了FSK调制和解调的原理,其中包括FSK的多种调制和解调,及功率谱密度的特点。第三章开始对2FSK调制解调系统的各个单元器件进行设计。第四章开头介绍了MAX+PLUSII这款软件,接着使用这款软件实现2ESK调制解调算法,其中包括对各个功能模块的算法编程和时序仿真。木设计的目的不是为了产生一种优于前人算法的算

3、法,而是部分使用前人的算法,在前人算法中加入自己对2FSK调制解调算法的理解,从而产生能用于本设计的非通用算法。关键词:仿真,2FSK,VHDL,FPGAAbstractFPGAistheabbreviationforFieldProgrammableGateArray.lthastheflexibilityoffieldprogrammabletotheprogrammablelogicdevices,alsohavestrongfunction,highlevelofintegrationandtheadvantagesofhighspeed,thesead

4、vantagesaresamewithGateArraydevices.Therefore,ithasbeenmoreandmorewidelyusedinthemoderncommunicationsystemdesign.VHDLlanguagehasstrongabilityofcircuitdescribedandmodeling,itcanmodelanddescribedigitalsysteminmultiplelevels,simplifiedthehardwaredesigntask,toimprovethedesignefficiencyan

5、dreliability.ThispapermainlyusethelanguageofVHDLonprogrammingmodulationanddemodulationof2FSK.Inthesystemsimulation,usingMAX+PLUSII,tosimulatemodulationanddemodulationof2FSK.Modulationusekeyingmethod,demodulationusecoherentdemodulationmethod.Basedon2FSKmodulationanddemodulationofFPGAr

6、ealizingonMAX+PLUSII,throughtheVHDLlanguageprogramming,producingsomeblocksofmodulationanddemodulation,realizingthewhole2FSKmodulationanddemodulationsystem.Thisthesisinchapter4,ThefirstchapterismainlyintroduceshebasicprincipleofFPGAanditsapplication,developmentsituation,alsointroduces

7、thecharacteristicsofthelanguageofVHDL;thesecondchaptertellsthedetailsofFSKmodulationanddemodulationbasicprinciple,includingvariousmodulationanddemodulationmethodofFSK,andpowerspectraldensitycharacteristics.Thethirdchapterbegantodesigneachunitdevicesofthe2FSKmodulationanddemodulation.

8、Thefourthcha

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。