eda实验讲义2010最新版

eda实验讲义2010最新版

ID:22858252

大小:1.37 MB

页数:43页

时间:2018-11-01

eda实验讲义2010最新版_第1页
eda实验讲义2010最新版_第2页
eda实验讲义2010最新版_第3页
eda实验讲义2010最新版_第4页
eda实验讲义2010最新版_第5页
资源描述:

《eda实验讲义2010最新版》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、河北大学电信学院基础教研部43第一章CPLDFPGA可编程逻辑器件1.1前言PLD是可编程逻辑器件(ProgrammableLogicDevice)的英文缩写。在可编程逻辑器件芯片内部,按一定的排列方式集成了大量的门和触发器等基本元件。使用者可利用特定的计算机开发工具对其进行加工,即按设计要求将这些芯片内部的元件连接起来,使之实现完成某个数字逻辑电路或系统的功能,成为一个可在实际电子系统中使用的专用集成电路(ASIC,ApplicationSpecificIntegratedCircuit)。随着集成电路工艺的日趋完善,集成度急剧增加,其功能日益强大。PLD广阔

2、的应用前景备受业内人士的瞩目。1.2PLD的分类按照不同的分类方法,PLD可分为如下几种情况:(1)按集成度分可分为低密度和高密度两大类,如图1.1所示。图1.1PLD按集成度的分类图(2)按结构分按结构的不同可分为两类:一类是基于与/或阵列结构的器件(PORM、PLA、PAL、GAL)、CPLD(EPLD)。另一类是基于门阵列结构的器件(FPGA)。(3)按编程工艺分①熔丝和反熔丝编程器件。如Actel的FPGA器件。②SRAM器件,如Xilinx的FPGA。③UEPROM器件,即紫外线擦除/电可编程器件。如大多数的EPLD器件。④EEPROM器件。如GAL、C

3、PLD器件。1.3PLD的发展概况河北大学电信学院基础教研部43PLD从20世纪70年代发展到现在,已形成了许多类型的产品,其结构、工艺、集成度、速度和性能都在不断改进和提高。最早的PLD是1970年制成的PROM(ProgrammableReadOnlyMemory),即可编程只读存储器,它是由固定的与阵列和可编程的或阵列组成。PROM采用熔丝工艺编程,只能写一次,不能擦除和重写。随着技术的发展和应用要求,此后又出现了UVEPROM(紫外线可擦除只读存储器)、EEPROM(电可擦除只读存储器),由于它们价格低,易于编程,速度低、适合于存储函数和数据表格,因此主要

4、用作存储器。典型的EPROM有2716、2732等。可编程逻辑阵列PIA(ProgrammableLogicArray)于20世纪70年代中期出现,它是由可编程的与阵列和可编程的或阵列组成,但由于器件的资源利用率低,价格较贵,编程复杂,支持PLA的开发软件有一定难度,因而没有得到广泛应用。可编程阵列逻辑PAL(ProgrammableArrayLogic)器件是1977年美国MMI公司(单片存储器公司)率先推出的,它由可编程的与阵列和固定的或阵列组成,采用熔丝编程方式,双极性工艺制造,器件的工作速度很高。由于它的输出结构种类很多,设计灵活,因而成为第一个得到普遍应

5、用的可编程逻辑器件,如PALl6L8。通用阵列逻辑器件GAL(GenericArrayLogic)器件是1985年Lattice公司最先发明的可电擦写、可重复编程、可设置加密位的PLD。GAL在PAL基础上,采用了输出逻辑宏单元形式E2CMOS工艺结构。具有代表性的GAL芯片有GALl6V8、GAL20V8,这两种GAL几乎能够代替所有类型的PAL器件。在实际应用中,GAL器件对PAL器件仿真具有百分之百的兼容性,所以GAL几乎完全代替了PAL器件,并可以取代大部分SSI、MSI、数字集成电路,如标准的54/74系列器件,因而获得广泛应用。PAL和GAL都属于简单

6、PLD,结构简单,设计灵活,对开发软件的要求低,但规模小,难以实现复杂的逻辑功能。随着技术的发展,简单PLD在集成密度和性能方面的局限性也暴露出来,其寄存器、I/O引脚、时钟资源的数目有限,没有内部互连,因此包括EPLD、CPLD和FPGA在内的复杂PLD迅速发展起来,并向着高密度、高速度、低功耗以及结构体系更灵活、适用范围更宽广的方向发展。可擦除可编程逻辑器件EPLD是20世纪80年代中期Altera公司推出的基于UVEPROM和CMOS技术的PLD,后来发展到采用E2CMOS工艺制作的PLD。EPLD的基本逻辑单元是宏单元。宏单元由可编程的与或阵列、可编程寄存

7、器和可编程I/O三部分组成。从某种意义上讲EPLD是改进的GAL,它在GAL基础上大大增加输出宏单元的数目,提供更大的与阵列,灵活性较GAL有较大改善,集成密度大幅度提高,内部连线相对固定,延时小,有利于器件在高频率下工作,但内部互连能力十分弱。世界著名的半导体器件公司Altera、Xilinx、AMD、Lattice均有EPLD产品,但结构差异较大。复杂可编程逻辑器件CPLD(ComplexPLD)是20世纪80年代末Lattice公司提出的在系统可编程(ISP,InSystemProgrammability)技术以后于20世纪90年代初出现的。CPLD是在EP

8、LD的基础

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。