基于dds的信号源设计

基于dds的信号源设计

ID:24068977

大小:48.00 KB

页数:3页

时间:2018-11-12

基于dds的信号源设计_第1页
基于dds的信号源设计_第2页
基于dds的信号源设计_第3页
资源描述:

《基于dds的信号源设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于DDS的信号源设计摘要:在我们进行仿真实验的时候,通常需要产生与实际类似的发射信号,本文介绍了基于DDS芯片的信号源设计过程。  关键词:DDS芯片频率合成技术  :TN74:A:1007-9416(2011)03-0032-01    1、基本原理  直接数字频率合成技术是从相位概念出发,直接对参考正弦信号进行采样,得到不同的相位,通过相位扫描正弦函数。DDS以稳定度高的参考时钟为参考源,利用采样定理,通过查表法产生波形。基本结构包括:相位累加器、加法器、波形存储器、D/A转换器以及低通滤波器。基本原理如图1所示。  DD

2、S系统的核心是相位累加器,在采样时钟信号fc的控制下,通过由频率控制字控制的相位累加器输出相位码,即每来一个时钟信号fc,相位累加器的输出就增加一个相位增加量,相位累加器按频率控制字K产生信号数字化拟合所需的线性相位取样值,对波形存储器寻址,将存储于只读存储器的波形量化采样后,数据值按一定的规律读出,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号,经过低通滤波器滤除不需要的频率分量,以输出频谱纯净的正弦波信号。  频率控制字K和时钟频率fc共同决定DDS输出信号的频率,二者之间关系满足下式,式中fc为参考

3、时钟,K为频率控制字,f0为输出频率。    2、硬件实现  美国模拟器件公司生产的AD9851高集成度DDS芯片。其内部有高速、高性能的D/A转换器和高速比较器,可作为数字编程控制的频率合成器和时钟发生器。如图2。  AD9851可以工作在串行或并行模式中,上电复位时默认为并行模式。参考时钟输入可采用温补石英晶体振荡器提供,编程启用AD9851内含的6倍频率乘法器。其中SP430相连接,AD9851输出经过低通滤波器后直接输出,而后连入发射机,如图5所示。    3、结语  本文采用AD9851高集成度DDS芯片作为信号源发生

4、器,产生的信号经过滤波之后输出,在后续设备中可以对此信号进行相应的处理。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。