基于fpga的病房呼叫系统设计方案

基于fpga的病房呼叫系统设计方案

ID:24541743

大小:55.00 KB

页数:4页

时间:2018-11-15

基于fpga的病房呼叫系统设计方案_第1页
基于fpga的病房呼叫系统设计方案_第2页
基于fpga的病房呼叫系统设计方案_第3页
基于fpga的病房呼叫系统设计方案_第4页
资源描述:

《基于fpga的病房呼叫系统设计方案》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的病房呼叫系统设计方案摘要:随着社会的发展,人们的生活水平也一直在进步,对医院的服务水平以及质量的要求也越来越高,病房呼叫系统也从原始的人力呼叫到现在的无线呼叫,有了很大的发展和变化。本文基于FPGA的病房呼叫系统设计做了简单的论述,改系统能完美的满足人们对于病房呼叫系统的需求。关键词:病房呼叫;FPGA;层次设计自改革开放以来,随着经济水平的不断提升,每个行业之间的竞争也越来越激烈,当然医疗行业也不列外,而且人们对医疗行业的服务要求、服务质量还要远远的高于其它行业,因为服务的优劣直接关系到他们的身体健康甚至生命安危,所以拥有

2、一款优秀的病房呼叫系统能在很大程度上提局医院的医疗服务水平、质量。在病房系统设计的整体当中,需要考虑到以下五点要求:第一点低成本,现有的智能化、人性化的高端病房呼叫系统对于普通医院来说成本太高,乡镇医院无法承担智能化的服务系统。第二点操作性,病人属于弱势群体,在呼叫系统操作方面要考虑到病人的实际情况,使其操作尽情的简单、方便。第三点及时性,对于病人而言,病情的发展情况需要医护人员的实时关注,呼叫系统必须起到呼叫快速及时作用。第四点信息可查性,医生能在办公室查看具体呼叫信息。第五点稳定性,考虑到该系统需要24小时不间断工作要求系统故障要低、

3、质量要可靠。而基于FPGA的病房呼叫系统就完美的能满足以上要求,其不仅运行速度越快,而且设计灵活、使用也十分方便,基本能用单片机实现的功能用FPGA也都能实现,在复杂的系统中也能完美实用。1、设计要求本设计要求基于FPGA,并且能够实现如下功能:病人通过按键,能在医生办公室显示并呼叫,并有时间优先级别;医生按键后复位;呼叫计时功能等。要求可以通过QUARTUS软件仿真验证,并且对仿真要有较高的精确度和可靠性。2、设计原理设计分为8路,所以设置8个输入信号,并且能够实现显示时间和声音提醒。八个呼叫输入端,设置一号优先等级为最高,然后依次降低

4、。毎一个信号对应一个LED灯,当信号输入时,相应的LED灯亮并且能够在显示器上能有所显示,蜂鸣器有声音提醒。当有一个信号输入时,蜂鸣器只会在输入的前5秒响,之后自动关闭,并且在3分钟内如果没有人应答,则会在3分钟之后发出警报声,持续5秒。当同时有多个信号输入时,系统将选择优先级别最高的输入信号的进行显示病房号时间与声音提醒,其它的只会显示其LED灯亮,不会显示出时间。同样5秒声音提醒之后3分钟内如无人应答,会再次发出警报。如在3分钟内有应答,则显示下一个病房的病房号和时间,同样当计时器的时间超过3分钟时也会报警,如在3分钟内有应答则显示下

5、一个,以此类推。.3设计方框图设计方框图如下图1所示,当有多个信号输入时,锁存器对信号进行维持,再进入时间选择器、计时器对输入信号等待时间进行计时,而数据选择器则对信号进行优先选择,显示器对其优先程度高的信号显示,并且显示出时间,相应的蜂鸣器也会发出声音,由于计时器与蜂鸣器之间的位宽不同,则需要一个连接器来衔接。2电路图小结在我国国内医院尽管呼叫系统在近些年有了很大发展,但是这代表的仅仅是一些一线城市的大医院,而其他大部分的医院都没有一套完整、有效的呼叫系统,因此国内的病房呼叫系统总体来说仍然是落后而且低级的。所以基于FPGA病房呼叫系统

6、的设计很有实际意义,发展应用前景也很好。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。