eda基于vhdl的24进制计数器课程设计

eda基于vhdl的24进制计数器课程设计

ID:24545191

大小:418.50 KB

页数:8页

时间:2018-11-14

eda基于vhdl的24进制计数器课程设计_第1页
eda基于vhdl的24进制计数器课程设计_第2页
eda基于vhdl的24进制计数器课程设计_第3页
eda基于vhdl的24进制计数器课程设计_第4页
eda基于vhdl的24进制计数器课程设计_第5页
资源描述:

《eda基于vhdl的24进制计数器课程设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、实训报告课程名称:EDA设计学生姓名:学号:专业班级:计算机软件2013年10月29日南昌大学实训报告学生姓名:学号:专业班级:实训类型:□验证□综合√设计□创新实训日期:10.9.6—10.9.14实验成绩:一、实训项目名称通过原理图方法以及VerilogHDL语言进行编程两种方法实现24进制计数器。二、实训目的1.熟练掌握QuartusII软件的使用。2.熟练掌握在QuartusII平台上用原理图或者VerilogHDL语言进行电路设计的方法。3.学会用例化语句对EDA电路设计中顶层电路进行描述。三、实训要求1.熟悉仿真开发软件Quartus

2、II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用QuartusII做波形仿真调试;4.下载至EDA试验仪调试设计。四、实训基本原理(附源程序清单,原理图、RTL图)一、通过VerilogHDL语言编程方法程序清单:modulels161(Q,RCO,D,ET,EP,LOAD,CLR,CLK);output[3:0]Q;outputRCO;input[3:0]D;inputLOAD,ET,EP,CLR,CLK;reg[3:0]Q;wireEN;assignEN=ET&EP;always@(posedgeCLKornegedgeC

3、LR)beginif(!CLR)Q=4'b0000;elseif(!LOAD)Q=D;elseif(EN)beginif(Q==9)Q=0;elseQ=Q+1;endendassignRCO=((Q==4'b1001)&EN)?1:0;endmodulemoduleXS7D(DIN,DOUT);input[3:0]DIN;output[6:0]DOUT;reg[6:0]DOUT;always@(DIN)begincase(DIN)0:DOUT=7'b1000000;1:DOUT=7'b1111001;2:DOUT=7'b0100100;3:DOU

4、T=7'b0110000;4:DOUT=7'b0011001;5:DOUT=7'b0010010;6:DOUT=7'b0000010;7:DOUT=7'b1111000;8:DOUT=7'b0000000;9:DOUT=7'b0010000;endcaseendendmodulemoduleCOUNT24(QL,QH,CLK,RRCO);output[6:0]QL,QH;outputRRCO;inputCLK;wire[3:0]Q1,Q2;wireRCOL,RCOH,RRCO,LOADL,LOADH,EN,LOAD;wire[3:0]D1,D2;

5、wireVCC,GND;assignD1=4'b0000,D2=4'b0000,VCC=1,GND=0;ls161u1(.Q(Q1),.RCO(RCOL),.D(D1),.ET(VCC),.EP(VCC),.LOAD(LOAD),.CLR(VCC),.CLK(CLK));ls161u2(.Q(Q2),.RCO(RCOH),.D(D2),.ET(EN),.EP(EN),.LOAD(LOADH),.CLR(VCC),.CLK(CLK));XS7Du8(.DIN(Q1),.DOUT(QL));XS7Du9(.DIN(Q2),.DOUT(QH));nan

6、du3(LOADL,Q1[3],Q1[0]);nandu4(LOADH,Q2[1],Q1[0],Q1[1]);notu5(EN,LOADL);andu6(LOAD,LOADL,LOADH);notu7(RRCO,LOADH);endmodule仿真结果:二、原理图方法实现仿真结果:五、主要仪器设备、软件及耗材安装有QuartusII的电脑一台。六、实训步骤1.按照课本或者资料提供的24进制计数器的电路原理图在QuartusII平台上按照原理图仿真的方法画出原理图,进行编译仿真,观看仿真结果。2.对仿真结果所得出的波形图进行分析,看输出波形是否与我

7、们设计要求相符合。3.按照波输出结果与设计需要差对代码或者原理图进行调试。4.调试程序知道输出波形与设计需要波形完全吻合说明设计成功了,保存工程文件。5.尝试用原理图以及编辑代码两种方式进行设计达到相同的设计需要。七、调试过程及处理结果调试过程中,发现采用原理图法输出结果并不是24进制的计数器输出的波形,经过检查是由于芯片引脚接反了,改正引脚揭发后输出结果完全正确。输出波形为24进制波形图。八、思考讨论题或体会或对改进实验的建议实验过程中通过采用原理图法以及vhdl语言编辑代码两种方法实现一个24进制计数器,观察输出波形,得到正确的输出结果,以及

8、在实验过程中遇到问题自己的调试过程,使得我们更加熟练的掌握了QuartusII软件的正确使用方法以及操作技巧,也练习了采用vhdl语言例

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。