FPGA-电风扇自动定时开关控制器.doc

FPGA-电风扇自动定时开关控制器.doc

ID:25171509

大小:1.31 MB

页数:26页

时间:2018-11-18

FPGA-电风扇自动定时开关控制器.doc_第1页
FPGA-电风扇自动定时开关控制器.doc_第2页
FPGA-电风扇自动定时开关控制器.doc_第3页
FPGA-电风扇自动定时开关控制器.doc_第4页
FPGA-电风扇自动定时开关控制器.doc_第5页
资源描述:

《FPGA-电风扇自动定时开关控制器.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、西安邮电学院FPGA课程设计报告题目:电风扇的自动定时开关控制器院系:电子工程学院专业班级:微电子0901学生姓名:导师姓名:起止时间:2012.6.18至2012.6.29FPGA课程设计报告提纲1.任务运用FPGA用FPGA开发板的按键作为输入控制键,用数码管显示当前电风扇自动定时状态(包括:自动开/关,工作定时等)。2.目的运用veriloghdl描述设计,在开发板上实现要求。3.使用环境(软件/硬件环境,设备等)Ep2c35f672c6开发板4.FPGA课程设计详细内容4.1技术规范4.1.1功能定义(1),根据用户需求,通过按键切换来实现风扇定时和普通工作模式。(2)普通

2、工作模式时,由手动开关控制电风扇的开关,即当开关打开时,风扇工作;开关关闭时,风扇停止工作。(3)定时模式时,根据设定定时时间来选择电风扇工作时间,比如10分钟、20分钟、30分钟等,并且同步显示剩余工作时间,但是此时用户仍可以通过开关控制提前关掉电扇。(4)LED上显示当前工作状态:风扇工作亮,停止工作灭。数码管显示总的设定的时间(小时,分钟)和剩余工作时间。4.1.2系统结构框图分频模块自动定时倒计时模块模式选择控制模块显示模块ClkInoptkeyEnOnOut_HOut_LC_out总体设计可以分为以上几个模块,各模块的功能简要介绍:(1)分频模块:模块的功能是把50MHz

3、的系统时钟转换为1Hz的时钟,和2Hz的时钟。1Hz的时钟供计数模块的使用。2Hz的时钟供输入定时数值的使用。(2)模式选择控制模块:选择需要的工作模式。包括两个模式:定时、普通。(3)自动定时倒计时模块:选择定时模式后,根据键盘的输入值来设定工作时间,在定时时间以内则风扇工作,倒计时结束或开关关闭时风扇自动关闭。(4)显示模块:LED上显示当前工作状态:风扇工作亮,停止工作灭。数码管显示总的设定的时间和剩余工作时间。4.1.3应用范围可以用于控制电扇手动控制电风扇工作或停止,也可以按照设定的时间自动工作或停止。4.1.4引脚描述顶层模块管脚描述(1)输入开关管脚信号名称功能描述输

4、入输出位宽Clk系统时钟,频率为50MHzinput1Op模式选择信号,为1时为定时模式;为0时为普通模式。input1In输入设定时间信号input4Key设定时间后开始计时信号Input1Clr清零信号input1Fz复制信号Input1En开始计时信号Input1(2)输出显示管脚信号名称功能描述输入输出位宽Out风扇工作信号,为1是风扇工作,为0时风扇停止工作Output1Out_3时间高位数码管输出端,为时钟的十位output7Out_2时间低位数码管输出端,为时钟的个位output7Out_1时间高位数码管输出端,为分钟的十位output7Out_0时间高位数码管输出端

5、,为分钟的十位output74.2设计方案4.2.1概述此自动定时控制器,可以实现定时模式和普通模式的切换,一共有六个模块。(1)分频模块:模块的功能是把50MHz的系统时钟转换为1Hz的时钟,和2Hz的时钟。1Hz的时钟供计数模块的使用。2Hz的时钟供输入定时数值的使用。(2)模式选择控制模块:模式选择控制模块:选择需要的工作模式。包括两个模式:定时、普通。(3)自动定时倒计时模块:选择定时模式后,根据键盘的输入值来设定工作时间,在定时时间以内则风扇工作,倒计时结束或开关关闭时风扇自动关闭。(4)显示模块:LED上显示当前工作状态:风扇工作亮,停止工作灭。数码管显示总的设定的时间

6、和剩余工作时间。(5)信号处理模块:该模块的主要作用是在定时没有结束的时候用火仍可以通过开关来控制电扇的关闭。(6)数据输入模块:当赋值信号(fz)有效后可以通过键盘输入定时的时间,确定输入无误后按下启动键(en),风扇开始倒计时。4.2.2顶层模块划分(1)分频模块这个模块的功能是把频率为50MHz的时钟转换为1Hz的时钟,供计时模块的使用。引脚分配:信号名称功能描述方向宽度Clk输入50MHz的时钟信号input1Inclk输出经过分频以后的1Hz的时钟信号output1Nclk输出经过分频后的2hz的时钟信号Output1电路设计:(2)模式选择控制模块:选择需要的工作模式。

7、包括两个模式:自动、手动,op为1时是定时模式,opl为0时是普通模式。若为定时模式风在设定的时间内自动工作,当计时结束时风扇自动停止工作,即计时反馈信号out为0时,风扇自动关闭。若选为手动模式,则在有手动开关key来控制风扇的开关,key为1时风扇工作,为0时停止工作。引脚分配信号名称功能描述方向宽度op模式选择信号,为1时为自动开关模式;为0时为手动开关模式。input1key开关信号input1out风扇工作状态信号output1clk主时钟Input1Clr

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。