一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc

一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc

ID:27224584

大小:201.00 KB

页数:8页

时间:2018-12-02

一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc_第1页
一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc_第2页
一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc_第3页
一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc_第4页
一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc_第5页
资源描述:

《一种基于USB2.0与FPGA技术的高速数据采集系统的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、一种基于USB2.0与FPGA技术的高速数据采集系统的设计袁江南(厦门理工学院电子与电气工程系福建厦门361005)摘要:介绍了一种基于USB2.0接口芯片ISP1581与FPGA技术的通用数据采集平台。系统采用TI的10位、20MSPS的TLC876高速A/D芯片,可以进行高速、低速采集的灵活配置,构建多种以PC机为界面的数据采集平台。PC端采集软件平台采用了多线程程序设计技术,提高了系统工作的稳定性。关键词:USB2.0,FPGA,数据采集,多线程USB接口是今后一段时间PC机与外设接口的主流。随着笔记本电脑的迅速普

2、及和更新,大部分已经不配置RS232接口,基于USB接口的高新能便携式数据采集系统将具有广阔的市场前景。本设计构建了一个基于USB接口的多功能通用数据采集,传输平台,将嵌入式系统的实时,灵活性和PC机强大的数据存储,处理,显示功能结合起来。在智能仪器仪表,测控系统,工控系统等领域有广阔的应用前景。1系统总体结构设计1.1系统总体结构如图1示,系统分为单片机与USB模块,FPGA模块,信号调理与A/D模块。其中单片机外围电路相对简单,整个系统主要通过PC机的程序界面控制动作。USB接口负责与PC机通信,FPGA模块负责完成

3、数据的采集与缓存。图1系统总体结构框图1.2单片机与USB接口模块本设计的目的是构建以PC为平台的系统,单片机的功能仅限于接收PC机的命令,控制FPGA工作。PC机作为整个系统的人机界面,控制整个数据采集系统进行采集、存储、处理。这样单片机可以选择低成本的8XC51系列。为了提高系统灵活性,采用单片机与USB接口芯片分离的方案,选择Philip公司的ISP1581USB2.0接口芯片。该芯片与8XC51系列单片机接口非常简单,可以极大降低系统的成本。1.3FPGA模块采用FPGA进行采样控制的最大特点是系统具有重构性和通

4、用性。设计中采用了Altera公司的低成本FPGA之Cyclone系列,实际试验时,在更便宜的Acex1k器件上也可以实现,控制高速A/D芯片以20MSPS的速度采样。FPGA设计中具体包括FIFO,单片机接口,A/D控制接口,DMA控制模块,主控制器等子模块。1.4PC端软件平台PC采集程序使用VC++实现。直接调用Philips公司提供的驱动程序进行数据读写,大大降低开发难度与风险。本设计中PC端软件设计包括应用程序的界面设计,多线程数据采集、存储与处理模块,以及与USB底层驱动程序的通信动态链接库。2USB接口模块

5、设计2.1USB接口芯片ISP1581具有体积小,高速,与单片机的接口相对简单等特点。除了控制端点外,拥有7个输入(IN)端点,7个输出(OUT)端点。每个端点可以灵活配置数据传输方式以及数据缓存区(FIFO)的大小,端点最大FIFO容量可以达2Kbytes。ISP1581在配置枚举时需要单片机固件的支持,一旦正确完成了配置和驱动加载,单片机对于ISP1581就如同普通存储器一般可以进行读写操作,以发送或接收数据。2.2固件编程USB通信完全由PC主机发起。在这种结构下,固件总是一直在等待主机命令再根据命令去执行相应的程

6、序。固件的基本思想是采用模块化设计。分成main.c等7个模块,main.c为主循环,isr.c主要负责中断处理,Chap9.c主要负责响应主机的请求。用户的应用程序将来也可以包括在main.c循环中。其结构如图2示。2.3单片机端程序设计单片机端程序主要包括初始化模块,外围FLASH、EEPROM、RAM、液晶显示器、按键等的读写控制模块。USB接口芯片固件模图2ISP1581固件结构块。A/D采样工作模式、速度、以及采样数据的读写的FPGA硬件控制模块等。本设计指导思想是充分利用PC机和嵌入式系统的优点,对MCU端的

7、要求是尽量简化。具体软件流程示意如图3示。USB作为一个通信接口,首先必须完成配置,然后才能进行用户数据的发送/接收。在循环中必须随时检查是否有主机的配置命令。配置枚举过程是USB固件编程当中较为复杂的部分,其流程如图4示。USB设备接入到主机并被主机识别后,主机首先以默认的地址(00H)发送一个设置(SETUP)包,新接入的设备必图3单片机软件流程示意图须接收此包并响应请求,然后主机会发送输入包读取设备描述符,初步判断设备的属性后,再设置设备的地址,随后再用这个地址读取设备的各种描述符以识别并且配置设备。可见,在设备配

8、置过程中,主机读取的数据较多,设备一旦接收到一个SETUP包之后便会判断主机的请求类型,然后进入请求处理循环等待主机的进一步命令,如果再次收到一个IN令牌便会向控制端点中写入数据,并且根据数据长度再次写端点直到数据发送完毕。USB的标准请求包括读取设备描述符,配置描述符,端点描述符,设置地址,配置设备等,厂商请求由用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。