DAC0832中文资料 DAC0832引脚图与应用电路程序.doc

DAC0832中文资料 DAC0832引脚图与应用电路程序.doc

ID:27512239

大小:150.00 KB

页数:8页

时间:2018-12-04

DAC0832中文资料 DAC0832引脚图与应用电路程序.doc_第1页
DAC0832中文资料 DAC0832引脚图与应用电路程序.doc_第2页
DAC0832中文资料 DAC0832引脚图与应用电路程序.doc_第3页
DAC0832中文资料 DAC0832引脚图与应用电路程序.doc_第4页
DAC0832中文资料 DAC0832引脚图与应用电路程序.doc_第5页
资源描述:

《DAC0832中文资料 DAC0832引脚图与应用电路程序.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、DAC0832中文资料DAC0832引脚图与应用电路程序  DAC0832引脚图、功能介绍、原理电路图:  DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图:    D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与T

2、TL电路或微机电路连接。  DAC0832引脚功能说明:  DI0~DI7:数据输入线,TLL电平。  ILE:数据锁存允许控制信号输入线,高电平有效。  CS:片选信号输入线,低电平有效。  WR1:为输入寄存器的写选通信号。  XFER:数据传送控制信号输入线,低电平有效。  WR2:为DAC寄存器写选通输入线。  Iout1:电流输出线。当输入全为1时Iout1最大。  Iout2:电流输出线。其值与Iout1之和为一常数。  Rfb:反馈信号输入线,芯片内部有反馈电阻。  Vcc:电源输入线(+5v~+15v)  Vref:基准电压输入线(-10v~+10v)  AGND:

3、模拟地,摸拟信号和基准电源的参考地。  DGND:数字地,两种地线在基准电源处共地比较好。  DAC0832内部结构和外部结构:  DAC0832内部结构    DAC0832的内部结构如图10.9所示。DAC0832中有两级锁存器,第一级锁存器称为输入寄存器,它的锁存信号为ILE;第二级锁存器称为DAC寄存器,它的锁存信号为传输控制信号。因为有两级锁存器,DAC0832可以工作在双缓冲器方式,即在输出模拟信号的同时采集下一个数字量,这样能有效地提高转换速度。此外,两级锁存器还可以在多个D/A转换器同时工作时,利用第二级锁存信号来实现多个转换器同步输出。  图10.9中LE为高电平

4、、和为低电平时,为高电平,输入寄存器的输出跟随输入而变化;此后,当由低变高时,为低电平,资料被锁存到输入寄存器中,这时的输入寄存器的输出端不再跟随输入资料的变化而变化。对第二级锁存器来说,和同时为低电平时,为高电平,DAC寄存器的输出跟随其输入而变化;此后,当由低变高时,变为低电平,将输入寄存器的资料锁存到DAC寄存器中。  DAC0832外部连接  DAC0832的外部连接线路如图10.10所示    DAC0832工作方式  DAC0832进行D/A转换,可以采用两种方法对数据进行锁存。  第一种方法是使输入寄存器工作在锁存状态,而DAC寄存器工作在直通状态。具体地说,就是使和

5、都为低电平,DAC寄存器的锁存选通端得不到有效电平而直通;此外,使输入寄存器的控制信号ILE处于高电平、处于低电平,这样,当端来一个负脉冲时,就可以完成1次转换。  第二种方法是使输入寄存器工作在直通状态,而DAC寄存器工作在锁存状态。就是使和为低电平,ILE为高电平,这样,输入寄存器的锁存选通信号处于无效状态而直通;当和端输入1个负脉冲时,使得DAC寄存器工作在锁存状态,提供锁存数据进行转换。  根据上述对DAC0832的输入寄存器和DAC寄存器不同的控制方法,DAC0832有如下3种工作方式:  ⑴单缓冲方式。单缓冲方式是控制输入寄存器和DAC寄存器同时接收资料,或者只用输入寄

6、存器而把DAC寄存器接成直通方式。此方式适用只有一路模拟量输出或几路模拟量异步输出的情形。  ⑵双缓冲方式。双缓冲方式是先使输入寄存器接收资料,再控制输入寄存器的输出资料到DAC寄存器,即分两次锁存输入资料。此方式适用于多个D/A转换同步输出的情节。  ⑶直通方式。直通方式是资料不经两级锁存器锁存,即,,,均接地,ILE接高电平。此方式适用于连续反馈控制线路,不过在使用时,必须通过另加I/O接口与CPU连接,以匹配CPU与D/A转换。  DAC0832应用电路程序  采用ADC0809实现A/D数据转换  (一)D/A转换器DAC0832  DAC0832是采用CMOS工艺制成的单

7、片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为:      由上式可见,输出的模拟量与输入的数字量()成正比,这就实现了从数字量到模拟量的转换。  一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。