eda触发器功能模拟实验报告

eda触发器功能模拟实验报告

ID:27551341

大小:362.59 KB

页数:7页

时间:2018-12-03

eda触发器功能模拟实验报告_第1页
eda触发器功能模拟实验报告_第2页
eda触发器功能模拟实验报告_第3页
eda触发器功能模拟实验报告_第4页
eda触发器功能模拟实验报告_第5页
资源描述:

《eda触发器功能模拟实验报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、系业号院专学指导教师综合成绩左修林应用技术学院电子信息工程201015254135陈强完成时间:2012年5月210一项目实验内容摘要基于FPGA硬件开发板,利用QuartusII软件通过VHDL输入的方式实现基木RS触发器,同步触发器,JK触发器,D触发器向吋集成在一个FPGA芯片中模拟功能;实验原理阁:2RDHM9D24CLKNMO2-D>14QRS5R二、项目实验源代码libraryieee;useieee.std_logic_1164.all;entitymffisport(sd,rd,r,s,elk,j,k,d:instd

2、_logic;qrs,nqrs,qrsc,nqrsc,qjk,nqjk,qd,nqd:outstd_logic);—定义多角虫发器1/0.endmff;architecturemffofmffissignalqtp,qbtp,dd,ndd:std_logic;beginrsff:process(rd,sd)—基本RS触发器功能模拟beginifrd=,0’andsd=,1’thenqrs〈='0’;nqrs〈='1’;elsifrd=,Yandsd=,0’thenqrs<=,1,;nqrs<=,0,;elsifrd=,fandsd=,

3、fthennull;endif;endprocessrsff;-同步RS触发器功能模拟rsc:process(elk,rd,sd,r,s)beginifsd=,0’thenqrsc<=,V;nqrsc〈=,0’;elsifrd=,0’thenqrsc<=,0’;nqrsc<=,V;elsifclk=,1’thenifr-0,ands-Tthenqrsc〈=,0’;nqrsc<=,f;elsifr=,Yands-O'thenqrsc<=,1’;nqrsc<=,0’;elsifr=’0’ands=’0’thennull;endif;end

4、if;endprocessrsc;jk:PROCESS(elk,sd,rd,j,k)-JK触发器功能模拟BEGINTFsd=’0’thenqtp〈=’1’;qbtp〈=’0’;elsifrd=’0’THENqtp〈=’0’;qbtp〈=’1’;elsifrising_edge(elk)thenifj=,0’andk=,0’thennull;elsifj=,0’andk=,1’thenqtp<=,0,;qbtp<=,1,;elsifj=,fandk=,0’thenqtp<=,1,;qbtp<=,0,;elseqtp<=N0Tqtp;qb

5、tp<=N0Tqbtp;endif;endif;qjk<=qtp;nqjk<=qbtp;endprocessjk;dff:process(elk,rd,sd,d)—D触发器功能模拟beginif(rd=’0’)thendd<=05;ndd<=f;elsif(sd=’0’)thendd<=’1’;ndd<=’0’;elsifrisingedge(elk)thendd〈=d;ndd<=notd;endif;qd<=dd;nqd〈=ndd;endprocessdff;endmff;三、项目实验工具软件的选用以及实验过程(一)项目实验工具软件

6、的选用:1、EDA2000实验箱(其他厂家具冇同等配置试验箱均可),主要使用:输入:时钟源,按键开关,拨码开关,输出:LED灯;2、主芯片:RP1K10TC100-3(大于此规模的芯片亦可);3、计算机与QUARTUSII软件;(二)实验过程:1、建立工作文件2、创建工程3、编译前设置4、全程编译5、时序仿真6、引脚设置和下载7、配置文件下载8、编程配置器件四、项目实验结果吋序仿真波形图:80.0xtx160、0200..0ni

7、_

8、!5!IiIIiIiIiI•!Ijjj^88888^IKUllllUilllllLllLlUlllll

9、LLLllllllUlLllllllILlllllILlLllUMillBMil_imnnnnrnnnnninnnnirnnnnninnirnnnnnnrnnnnnnninilt-u;门;;;;;;;;;m;n;;;;iiiiii妻iii吾iii妻l~lnjjjjjjj萎j萎jjjjjjj功能仿真波形图:-Lcor〕ps40Qns80.its120.(0ns160.0ns200.0ns240.prLJn」—nri~~i」i_TLn_n_n_n_i—lj_i表一基本RS触发器RdSdQNQ0101101011010011表二同步RS触发

10、器RsCLKRdSdQnQn+le"-1XXX100l0XXX01001XXX00100XX011110001110010111101010111011111110不定不定表三J-K触发器JKCLKRdSdQnQ,l+i

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。