基于fpga的svpwm算法的实现

基于fpga的svpwm算法的实现

ID:28802072

大小:2.01 MB

页数:26页

时间:2018-12-14

基于fpga的svpwm算法的实现_第1页
基于fpga的svpwm算法的实现_第2页
基于fpga的svpwm算法的实现_第3页
基于fpga的svpwm算法的实现_第4页
基于fpga的svpwm算法的实现_第5页
资源描述:

《基于fpga的svpwm算法的实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的SVPWM算法的实现摘要:为了数字实现SVPWM的算法,文中采用了以FPGA作为硬件基础,给出了基于FPGA的SVPWM算法的具体算法以及软件设计。文中使用VerilogHDL编写FPGA程序,采用语句和图形编辑相结合的方式进行编程以达到程序结构清晰的目的。程序采用Mealy型状态机的程序结构,以达到增加硬件资源的利用率,结构清晰,便于数字设计的目的。其中,软件通过了ModelsimAltera进行仿真,给出了其相关的仿真波形图以说明其无误。文中使用StormⅢFPGA开发板对软件进行验证,其中开发板的核心芯片为Altera公司生产的EP3C10E144C8这款FPG

2、A芯片,使用了RC滤波电路对PWM信号进行滤波处理并且给出了输出信号的示波器波形图和借助硬件电路驱动一台三相交流电机以说明其算法实现的准确性。关键词:SVPWM;FPGA;空间矢量脉宽调制;VerilogHDLTheRealizationofSVPWMAlgorithmBasedonFPGAAbstract:TorealizedigitalSVPWMalgorithm,thispaperadoptedinhardwarebasedonFPGA,andgivesthespecificalgorithmofSVPWMalgorithmbasedonFPGAandthesoftwared

3、esign.FPGAprogramwritteninthispaper,weuseVerilogHDL,adoptthewayofcombiningstatementsandgraphicseditingprograminordertoachievethepurposeoftheprogramstructureisclear.ProgramtypewithMealystatemachinestructure,increasetheutilizationofhardwareresources,inordertoachievethestructureisclear,forthepurp

4、oseofdigitaldesign.Amongthem,thesoftwarethroughtheModelsimAlterasimulation,itsrelatedsimulationwaveformgraphisgiventoillustrateitscorrect.Inthispaper,weusetheStormⅢFPGAdevelopmentthreateningthesoftwarevalidation,inwhichdevelopmentboardofthecorechipofAlteracompanyEP3C10E144C8theFPGAchip,usingth

5、eRCfiltercircuittofilterthePWMsignalandoutputsignaloscilloscopewaveformdiagramisgiventoillustratetheaccuracyofthealgorithm.Keywords:Spacevectorpulsewidthmodulation;SVPWM;FPGA;VerilogHDL目录1绪论(1)1.1空间矢量控制技术(1)1.2现场可编程门阵列(2)1.3本文研究内容(3)2空间矢量控制(4)2.1空间矢量控制基本原理(4)2.1.1空间矢量的定义(4)2.1.2电压与磁链空间矢量的关系(5)

6、2.1.3六拍阶梯波逆变器与正六边形空间旋转磁场(6)2.1.4电压空间矢量的线性组合(8)2.2空间矢量控制算法(10)2.2.1恒压频比(10)2.2.2Clark变换(10)2.2.3开关矢量持续时间计算(11)2.2.4扇区判断(12)2.2.5合成参考矢量(12)3基于FPGA的SVPWM算法的软件设计(12)3.1运算模块(13)3.1.1三相参考电压峰值计算模块(13)3.1.2三相电压矢量发生模块(14)3.1.3坐标变换模块(15)3.1.4开关导通时间计算模块(15)3.1.5参考矢量位置判断模块(17)3.2PWM信号发生模块(18)3.3死区模块(19)3.

7、4软件总系统(19)4硬件与调试(20)5总结与展望(22)致谢(23)参考文献(24)附录(25)基于FPGA的SVPWM算法的实现1绪论1.1空间矢量控制技术直流电机和交流电机在19世纪先后诞生,鉴于直流电机具有优越的调速性能,高性能的可调速场合都采用直流电机,而约占所有电机总容量80%以上的不变速拖动系统则采用了交流电机,交流调速系统多种方案虽然已经早已问世,并已经投入到了实际应用当中,但是其性能却始终无法与直流调速系统相比。在早期的交—直—交变压变频器所输出的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。