基于vhdl的pwm信号发生器的设计

基于vhdl的pwm信号发生器的设计

ID:28814472

大小:1.00 MB

页数:19页

时间:2018-12-14

基于vhdl的pwm信号发生器的设计_第1页
基于vhdl的pwm信号发生器的设计_第2页
基于vhdl的pwm信号发生器的设计_第3页
基于vhdl的pwm信号发生器的设计_第4页
基于vhdl的pwm信号发生器的设计_第5页
资源描述:

《基于vhdl的pwm信号发生器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、YibinUniversityEDA技术及应用课程设计报告题目基于VHDL的PWM信号发生器系别物理与电子工程学院专业电子信息科学与技术学生姓名学号班级2013年12月21日2摘要本次课程设计是基于VHDL的PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于QuartusII9.1仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一

2、是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。关键词:PWM信号发生器仿真设计目录第1章绪论1.1EDA和QuartusⅡ的简介及起源………………11.2EDA的优势及发展趋势…………………………1第2章系统设计思路………………………………………3第3章可自加载加法计数器的设计………………………4第4章信号发生器设计过程………………………………6第5章软件仿真5.1QuartusⅡ软件简介……………………………85.2用QuartusⅡ的

3、仿真步骤和图像………………95.3逻辑综合结果……………………………………12第6章设计总结……………………………………………13第7章参考文献……………………………………………15附录设计程序…………………………………………1616第1章绪论1.1EDA和QuartusⅡ的简介及起源EDA是英文“electronicdesignautomation”(电子自动化设计)的缩写,EDA技术是20世纪90年代迅速发展起来的,是现代电子设计的最新技术潮流,是综合现代电子技术和计算机技术的最新研究成果,是电子线路设计与分析的一门技术。ED

4、A包括电子线路的设计、计算机模拟仿真和电路分析及印制电路板的自动化设计三个方面的内容。随着可编程逻辑器件迅速发展,出现了功能强大的全新的EDA工具。具有较强描述能力的硬件描述语言(VHDL、Verilog、HDL)及高性能综合工具的使用,使过去单功能电子产品开发转向系统级电子产品开发。QuartusⅡ是Altera提供的FPGA/CPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供应商之一。QuartusⅡ是在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusⅡ的更新换代产品,其界面友好

5、,使用环境便捷。它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。QuartusⅡ设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verlog逻辑综合器。QuartusⅡ包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis&Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(DesignAssistant)、EDA网表文件生成器(EDANetlistWriter)、编辑数据

6、接口(CompilerDatabaseInterface)等。可以通过选择StartCompilation来运行所有的编译器模块,也可以通过选择Start来单独运行各个模块。还可以通过选择CompilerTool,在CompilerTool窗口中运行该模块来启动编译器模块。在CompileTool窗口中,可以打开该模块的设置文件或报告文件,或打开其它相关窗口。1.2EDA的优势及其发展趋势EDA技术的优势体现在:l用HDL对数字系统进行抽象的行为与功能描述到具体的内部线路结构,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验

7、证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。16lEDA工具之所以能够完成各种自动设计过程,关键是有种类库的支持,如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等。l某些HDL本身也是文档型的语言(如VHDL),极大地简化了设计文档的管理。lEDA中最为瞩目的功能,最具现代化电子设计技术特征的功能,是日益强大的逻辑设计仿真测试技术。极大地提高了大规模系统电子设计的自动化程度。EDA的发展趋势,表现在以下几个方面:l超大规模集成电路的集成度和工艺水平不断提高,深亚微米(Deep-S

8、ubmicron)工艺,如0.13um、90nm已经走向成熟,在一个芯片上完成的系统级的集成已经成为可能。l由于工艺不断减小,在半导体材料上的许多寄生效应已经不能简单地补码忽略,这就对EDA工具提出了更高的要求。同时,也使得IC生产线的投资更为巨大

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。