38译码器设计实验报告

38译码器设计实验报告

ID:29732667

大小:16.89 KB

页数:5页

时间:2018-12-22

38译码器设计实验报告_第1页
38译码器设计实验报告_第2页
38译码器设计实验报告_第3页
38译码器设计实验报告_第4页
38译码器设计实验报告_第5页
资源描述:

《38译码器设计实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划38译码器设计实验报告  实验二组合逻辑电路的VHDL模型实验  一、实验目的  1、掌握组合逻辑和时序逻辑电路的设计方法。  2、掌握组合逻辑电路的静态测试方法。加深FPGA设计的过程,并比较原理图输入和文本输入的优劣。  3、了解通用同步计数器,异步计数器的使用方法。  4、理解积分分频器的原理。  二、硬件要求  拨位开关、FPGA主芯片EP1K30QC208、LED显示模块  三、实验

2、原理  译码器是输入数码和输出数码之间的对应关系,也就是说,“输入码和输出码之间的对应表”这应该算是设计译码器的必须条件。  译码器常用来做码和码之间的转换器,也常被用于地址总线或用作电路的控制线。例如下面为常见的3×8译码器的真值表:  一般的分频器可获得的分频频率种类分布不均匀,积分分频,能比较好的解决这个问题。  1、分频结果=来源频率×N/;  2、频率波形不均匀。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适

3、应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  四、实验内容及步骤  本实验内容是完成38译码器和5/8分频器的设计,然后将3×8译码器的结果在实验箱上实现,5/8分频器则能正确仿真、显示,实验步骤如下:  1、编写3×8译码器的VHDL代码。  2、用MaxPlusII对其进行编译仿真。  3、在仿真确定无误后,选择芯片ACEX1KEP1K30QC208。  4、给芯片进行管脚绑定,在此进行编译。  5、根据自己绑定的管脚,在实验箱上对键盘

4、接口、显示接口和FPGA之间进行正确连线。  6、给目标板下载代码,在开关输入键值,观看实验结果。  7、编写5/8分频器的VHDL代码。  8、用MaxPlusII对其进行编译仿真。  9、使用WaveForm进行波形仿真。  五、程序代码  1.38译码器  libraryieee;  use_logic_;  entitya3toy8is目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场

5、安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  port(en:instd_logic;a:instd_logic_vector(2downto0);b:instd_logic_vector(2downto0);y:outstd_logic_vector(7downto0);YM:outstd_logic_vector(7downto0));  endentitya3toy8;  architecturea3y8ofa3toy8is  signalt:std_logic_

6、vector(3downto0);  signalv:std_logic_vector(3downto0);  begin  tY(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)Y(7downto0)YM(7downto0)YM(7downto0)YM(7downto0)YM(7downto0)YM(7downto0)YM(7downto0)YM(7downto0)YM(7dow

7、nto0)YM(7downto0)0));  enddecoder38;  architectureBehavioralofdecoder38is  begin  process(g1,g2a,g2b,a,b,c)  variabletemp:std_logic_vector(2downto0);  begin  temp:=g1&g2a&g2b;  iftemp="100"then目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全

8、感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  temp:=c&b&a;  casetempis  when"000"=>yyyyyyyyy'1');  endcase;  else  y'1');  endif;  endprocess;  endBehavioral;  2、画出3-8译码器的测试基准波形文件,并画出3-8译码器正确的输出波形。  实验记录:  3、

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。