实验三数码管扫描显示电路

实验三数码管扫描显示电路

ID:30126434

大小:447.05 KB

页数:12页

时间:2018-12-27

实验三数码管扫描显示电路_第1页
实验三数码管扫描显示电路_第2页
实验三数码管扫描显示电路_第3页
实验三数码管扫描显示电路_第4页
实验三数码管扫描显示电路_第5页
资源描述:

《实验三数码管扫描显示电路》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、本科学生综合性实验报告学号114090315姓名李开斌学院物电学院专业、班级11电子实验课程名称电子设计自动化(EDA实验)教师及职称罗永道副教授开课学期2013至2014学年下学期填报时间2014年5月30日云南师范大学教务处编印11实验序号4实验名称数码管扫描显示电路实验时间2014年5月30实验室同析楼114一.实验预习1.实验目的:1、了解时序电路设计;2、制作一个数码管显示的7段译码电路,以备以后调用;2.实验原理、实验流程或装置示意图:在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路。通常点亮一个LE

2、D所需的电流在5~20mA之间,电流愈大,LED的亮度也高,相对的使用寿命也愈短。若以10mA导通电流来估算一个接5V的串接电阻值计算应为:(5-1.6)/10mA≈0.34KΩ。七段显示数码管分为共阳、共阴二种极性。它们等效成八个LED相连电路。共阴极七段显示器的LED位置定义和等效电路11共阴极七段显示码十六进制转换表动态共阴数码管扫描设计框图11静态共阳数码管扫描设计框图2.实验设备及材料电脑一台,QuartusII实验平台,EDA实验箱3.实验方法步骤及注意事项动态共阴数码管实验电路连线:1、分别将A、B、C、D、E、F、G的各个管脚连接;2、SS0:为独立扩

3、展下载板上第82脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS0。脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS1。3、SS2:为独立扩展下载板上第84脚,是数码管的位选扫描信号,接信号接线组“DS1-8A(T)”的引线插孔SS2。4、RESET:为独立扩展下载板上第81脚,应接“多功能复用按键F1-F12”信号接线组“F1_12(T)”的F9~F12的任意一个引线插孔5、CLK:为独立扩展下载板上第80脚即GCK0脚,应接时钟信号接线组“CLOCK(T)”的“FRQ(11)”引线插孔。6、通过引脚配置,可得

4、如下图形:11动态共阴数码管的模块:改变“8位数字开关组(A)”的“SW8~SW5”,共有24=16种状态,即在共阴动态数码管上分别显示十六进制数0~F。静态共阳数码管改变“8位数字开关组(A)”的“SW8~SW5”,共有24=16种状态,即在DS7C共阳静态数码管上显示对应的十六进制数0~F。11二.实验内容1.实验现象与结果(1)动态共阴数码管显示模块VHDL语言程序如下:libraryIEEE;useIEEE.std_logic_1164.all;entityxdeledisport(di:inSTD_LOGIC_VECTOR(3DOWNTO0);a:outST

5、D_LOGIC;b:outSTD_LOGIC;c:outSTD_LOGIC;d:outSTD_LOGIC;e:outSTD_LOGIC;f:outSTD_LOGIC;g:outSTD_LOGIC);endxdeled;architectureaofxdeledisbegin--<>process(di)typedata_outisarray(0to6)ofstd_logic;variableoutp:data_out;begincasediiswhen"0000"=>outp:="1111110";when"0001"

6、=>outp:="0110000";when"0010"=>outp:="1101101";when"0011"=>outp:="1111001";when"0100"=>outp:="0110011";when"0101"=>outp:="1011011";11when"0110"=>outp:="1011111";when"0111"=>outp:="1110000";when"1000"=>outp:="1111111";when"1001"=>outp:="1111011";when"1010"=>outp:="1110111";when"1011"=>out

7、p:="0011111";when"1100"=>outp:="1001110";when"1101"=>outp:="0111101";when"1110"=>outp:="1001111";when"1111"=>outp:="1000111";whenothers=>null;endcase;a<=outp(0);b<=outp(1);c<=outp(2);d<=outp(3);e<=outp(4);f<=outp(5);g<=outp(6);endprocess;enda;输入波形如下所示:11输出波形如下所示:11(2)静态数共阳码管显示模

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。