基于verilog实现的dds任意波形发生器

基于verilog实现的dds任意波形发生器

ID:3153980

大小:1.82 MB

页数:36页

时间:2017-11-20

基于verilog实现的dds任意波形发生器_第1页
基于verilog实现的dds任意波形发生器_第2页
基于verilog实现的dds任意波形发生器_第3页
基于verilog实现的dds任意波形发生器_第4页
基于verilog实现的dds任意波形发生器_第5页
资源描述:

《基于verilog实现的dds任意波形发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、集成电路课程设计河海大学计算机与信息学院(常州)课程设计报告题目简易直接数字频率合成器前端设计专业、学号电科1062910220授课班号275901学生姓名毛石磊指导教师单鸣雷完成时间2013-6-28-35-集成电路课程设计课程设计(报告)任务书(理工科类)Ⅰ、课程设计(报告)题目:简易直接数字频率合成器(DDS)前端设计Ⅱ、课程设计(论文)工作内容一、课程设计目标1、培养综合运用知识和独立开展实践创新的能力以及同组之间合作的能力;2、学习直接数字频率合成器(DDS)相关知识,进行系统构架设计、模块划分和算法分析,并使用VerilogHDL设计一简

2、易直接数字频率合成器,要求具有根据输入的控制字的不同输出不同频率的正弦信号的功能;3、能够对分析、测试、解决实际的数字电路问题加深理解,学以致用,增强动手能力,为今后能够独立进行设计工作打下一定的基础;二、研究方法及手段应用1、学习直接数字频率合成器(DDS)相关知识,确定电路需要实现的功能,分别编写各个功能模块,主要有相位累加器模块、正弦查询表模块和主模块;2、利用仿真软件测试各个模块功能的正确性;3、将各模块综合起来,实现整体功能并采用软件验证;三、课程设计预期效果1、完成实验环境搭建;2、完成DDS的功能设计与综合;3、完成modelsim软件

3、仿真,确定程序代码正确性;4、在理论学习和具体实践中达到对DDS的正确理解。学生姓名:毛石磊专业年级:电子科学与技术2010级-35-集成电路课程设计摘要直接数字频率合成技术(DirectDigitalSynthesize,DDS)是继直接频率合成技术和锁相式频率合成技术之后的第三代频率合成技术。它采用全数字技术,并从相位角度出发进行频率合成。目前,DDS的设计大多是应用HDL(HardwareDescriptionLanguage)对其进行逻辑描述,整个设计可以很容易地实现参数改变和设计移植,给设计者带来很大的方便。VerilogHDL就是其中一种

4、标准化的硬件描述语言,它不仅可以进行功能描述,还可以对仿真测试矢量进行设计。此次课程设计只做软件部分,不做硬件实现,而DDS最重要的软件组成模块是相位累加模块和相位幅值转化模块(ROM)。本设计最重要的任务就是就是用verilogHDL编写相位累加模块和ROM表,然后利用modelsim实现模块的综合与仿真,最终实现功能。【关键词】DDS相位累加ROM表-35-集成电路课程设计ABSTRACTDirectDigitalfrequencysynthesistechnology(DirectDigitalSynthesize,DDS)isthethird

5、generationfrequencysynthesistechnologyafterDirectfrequencysynthesistechnologyandphaselocktypesynthesistechnology.Itusesthedigitaltechnologytoachievefrequencysynthesisfromthephase’perspective.Atpresent,thedesignofDDSmostlyapplicatesHDL(HardwareDescriptionLanguage)tomaketheDescri

6、ptionlogic,andthewholedesigncaneasilyachieveparameterschangeanddesigntransplantation,whichgivesthedesigneralotofconvenience.VerilogHDLisoneofthestandardizationofthehardwaredescriptionlanguage,itnotonlycandescribefunction,italsocandescribethesimulationtestvector.Thecoursedesigno

7、nlyhasthepartofsoftware,andthemostimportantpartofsoftwarecomponentsoftheDDSisthephaseaccumulativemoduleandPhase/amplitudetransformationmodule(ROM).ThemostimportanttaskofthisdesignistousetheverilogHDLtowritephaseaccumulatemoduleandROMtable,thenusemodelsimtocompletesynthesizeands

8、imulation,andfinallyachievethefunction.【Keywords】DDSPh

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。