毕业论文-基于fpga的数字钟设计说明书.docx

毕业论文-基于fpga的数字钟设计说明书.docx

ID:32612475

大小:468.07 KB

页数:40页

时间:2019-02-13

毕业论文-基于fpga的数字钟设计说明书.docx_第1页
毕业论文-基于fpga的数字钟设计说明书.docx_第2页
毕业论文-基于fpga的数字钟设计说明书.docx_第3页
毕业论文-基于fpga的数字钟设计说明书.docx_第4页
毕业论文-基于fpga的数字钟设计说明书.docx_第5页
资源描述:

《毕业论文-基于fpga的数字钟设计说明书.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于FPGA的数字钟系统姓名:蒋佳霖学号:1023000657学院:物理与电子学院40摘要:本文利用FPGA的Verilog语言设计数字钟系统。并采用Quartuse进行综合,仿真,实验。经过测试,本文所设计的系统基本可以实现数字的计时和显示,调整时间的功能。关键字:FPGA,Verilog语言,数字钟系统1、设计所实现的功能本文所设计的数字钟系统能进行时,分,秒的显示,和对时间的校正。能够利用按键进行“调时”,“调分”,“清秒”的功能,随时对数码管进行调整和校正。数字钟系统是由系统时钟,数码管,FPGA,三个功能键组成。CLK分频器模块CLK

2、_1HZ计时模块控制模块数码管2、设计所采用的思想本文所设计的数字钟系统采用Veriloig自顶而下的设计方法。在编程中,通过键位的数值的判断来调用例化语句实现控制模块对计时模块和分频模块的控制。3、各模块的代码及测试情况分频模块的代码:moduleszz_fenpin(clk,clk_1HZ);40inputclk;outpitclk_1HZ;reg[31:0]count;always@(posedgeclk)beginif(count

3、Z<=~clk_1HZ;endendendmodule注:本文所使用的分频模块只能进行偶数分频。M为分频的倍数。计时模块:moduleszz_js(clk_1HZ,num1,num2,num3,num4,num5,num6);inputclk_1HZ;outputreg[3:0]num1,num2,num3,num4,num5,num6;always@(posedgeclk_1HZ)40beginif((num6==4'b10)&&(num5==4'b100))beginnum5=4'b0;num6=4'b0;endelseif(num5==4

4、'b1001)beginnum6=num6+4'b1;num5=4'b0;endelseif(num4==4'b0110)beginnum5=num5+4'b1;num4=4'b0;endelseif(num3==4'b1001)beginnum3=4'b0;num4=num4+4'b1;endelseif(num2==4'b0110)40beginnum2=4'b0;num3=num3+4'b1;endelseif(num1==4'b1001)beginnum1=4'b0;num2=num2+4'b1;endelsebeginnum1=num

5、1+4'b1;endendendmodule注:本文所使用的计时模块的代码采用状态机,非阻塞语句赋值,所以在判以后不会立即清零,所以判断采用是当其为5的时候才进行下一次状态,并在下一次状态进行清零。控制语句:moduleszz_kz(clk,rst,turn1,turn2,turn3,n1,n2,n3,n4,n5,n6,rs1,rs2,rs3,rs4,rs5,rs6);inputclk,turn1,turn2,turn3,rst;input[3:0]n1,n2,n3,n4,n5,n6;outputreg[3:0]rs1,rs2,rs3,rs4,

6、rs5,rs6;reg[3:0]num1,num2,num3,num4,num5,num6;always@(posedgeclk)40beginif(!rst)beginrs1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;endelsebeginrs1=n1;rs2=n2;rs3=n3;rs4=n4;rs5=n5;rs6=n6;rs5=rs5+num5;rs3=rs3+num3;if(turn1)beginrs5=n5;num5=num5+4'b1;endif(turn2)beginrs3=n3;num3=num

7、3+4'b1;endif(turn3)beginrs2=0;rs1=0;endendendmodule注:本控制程序是对键位的高电平进行监测,则进行清秒,调分,调时的功能。1、程序的测试图40图为计数模块的部分的图形。图为程序调试以后的结果1、程序代码注:因为在FPGA的试验台上有1HZ的CLK信号,所以在实现时可以将CLK信号直接设置为1HZ,故省略例化分频语句。moduleszz_js(clk_1HZ,num1,num2,num3,num4,num5,num6);40inputclk_1HZ;outputreg[3:0]num1,num2,

8、num3,num4,num5,num6;always@(posedgeclk_1HZ)beginif((num6==4'b10)&&(num5==4'

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。