rs码、ldpc码级联编解码器的fpga实现

rs码、ldpc码级联编解码器的fpga实现

ID:33399537

大小:4.20 MB

页数:65页

时间:2019-02-25

rs码、ldpc码级联编解码器的fpga实现_第1页
rs码、ldpc码级联编解码器的fpga实现_第2页
rs码、ldpc码级联编解码器的fpga实现_第3页
rs码、ldpc码级联编解码器的fpga实现_第4页
rs码、ldpc码级联编解码器的fpga实现_第5页
资源描述:

《rs码、ldpc码级联编解码器的fpga实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、电子科技大学硕士学位论文RS码、LDPC码级联编解码器的FPGA实现姓名:刘钊申请学位级别:硕士专业:信号与信息处理指导教师:田广和20100501摘要差错控制编码技术是现代通信技术中的关键技术之一,在移动通信、数字电视、计算机存储等数据通信系统中得到了广泛应用。在信道条件恶劣的情况中,常采用纠错能力更强的级联编解码方法,进行差错控制。本课题以RS码、LDPC码级联编解码系统为研究对象,开发了基于PCI接口的级联纠错编解码系统接口卡。本文的主要研究内容是基于FPGA的RS码与LDPC码的级联实现以及PCI接口控

2、制设计。该系统由接口控制模块、RS码编鳃码模块、交织懈交织模块、LDPC码编解码模块以及数据缓存、存储模块组成。实现了由主机端发起写数据,经FPGA处理器处理后进行存储,后再由主机端读出的逻辑功能。级联编解码实现是本文的重点。本文通过对级联编码理论的研究,确定了含有交织器的串行级联方案。在RS码编解码实现过程中,重点研究了RS码的译码算法;而在LDPC码的实现过程中,因其译码相对简单,故重点研究了编码算法的实现,并采用了准循环构造编码方法设计了LDPC码编码器。各功能模块采用VerilogHDL语言编程实现,并

3、通过了功能仿真验证。PCI接口实现是本文另一难点。本文在对PCI总线研究的基础上,采用PLX公司的PCI9054专用接口芯片实现了PCI接口逻辑控制。本文重点研究了PCI9054接口芯片的功能和开发方法,设计了局部总线接口逻辑,实现了PCI总线接口功能,并为接口卡开发了相应的驱动程序和应用程序。测试表明,该设计能够正确实现读写功能。关键词:级联编码,RS码,LDPC码,FPGAABSTRACTErrorcontrolcodingtechniques,oneofthekeytechnologiesinmodemc

4、ommunicationstechnology,hasbeenwidelyusedindigitalcommunicationsystemsuchasmobilecommunication,digitaltelevision,computerstorage,ete..Cascadingcodecisalwaysusedtoerrorcontrolinbadchannelconditionforitsstrongerrorcorrectionability.TheissuewithRScodes,LDPCcode

5、sconcatenatedcodingsystemforthestudy,developedthePCIinterfacebasedoncascadederrorcorrectioncodinganddecodingsysteminterfacecard.ThispaperfocusesonPCIinterfacedesignandcascadingimplementofRScodesandLDPCcodesbasedonFPGA.It’Sconstitutedbyinterfacecontrollermodu

6、le,RSencodinganddecodingmodules,interleaving\deintedeavingmodules,LDPCencodinganddecodingmodules,anddatacachememorymodule.ImplementationwasinitiatedbYthehost-sidedata,afterprocessingbytheFPGAprocessor,memory,andthenreadoutbYthehost-sidelogic.CascadeDecodingi

7、sthefocusofthisarticle.Basedonthecascadeofcodingtheory,identified、析tlltheserialinterleavercascadeprogram.RSencodinganddecodingintheimplementationprocess,focusonthedecodingalgorithmforRScodes;inLDPCcodeimplementationprocess,becauseofitsrelativelysimpledecodin

8、g,itfocuses011thecodingalgorithmwhichemploysaquasi-cyclicstructurecodingLDPCcodesdesignedencoder.EachmoduleisimplementedbyVerilogHDLlanguage,andhasbeenverifiedbyfunctionalsimulation.Theimplement

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。