eda技术与vhdl-第一部分vhdl语句课件

eda技术与vhdl-第一部分vhdl语句课件

ID:33529425

大小:192.00 KB

页数:63页

时间:2019-02-26

eda技术与vhdl-第一部分vhdl语句课件_第1页
eda技术与vhdl-第一部分vhdl语句课件_第2页
eda技术与vhdl-第一部分vhdl语句课件_第3页
eda技术与vhdl-第一部分vhdl语句课件_第4页
eda技术与vhdl-第一部分vhdl语句课件_第5页
资源描述:

《eda技术与vhdl-第一部分vhdl语句课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术与VHDL第1部分VHDL语句一顺序语句1.1赋值语句信号赋值语句变量赋值语句1.2IF语句1.3CASE语句选择值[

2、选择值]单个普通数值,如6。数值选择范围,如(2TO4),表示取值为2、3或4。并列数值,如35,表示取值为3或者5。混合方式,以上三种方式的混合。【例1】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux41ISPORT(s4,s3,s2,s1:INSTD_LOGIC;z4,z3,z2,z1:OUTSTD_LOGIC);ENDmux41;ARCHITECTUREactivOFm

3、ux41ISSIGNALsel:INTEGERRANGE0TO15;BEGINPROCESS(sel,s4,s3,s2,s1)BEGINsel<=0;--输入初始值IF(s1='1')THENsel<=sel+1;ELSIF(s2='1')THENsel<=sel+2;ELSIF(s3='1')THENsel<=sel+4;ELSIF(s4='1')THENsel<=sel+8;ELSENULL;--注意,这里使用了空操作语句ENDIF;z1<='0';z2<='0';z3<='0';z4<='0';--输入初始值CASEselISWHEN0=>z1<=

4、'1';--当sel=0时选中WHEN13=>z2<='1';--当sel为1或3时选中WHEN4To72=>z3<='1';--当sel为2、4、5、6或7时选中WHENOTHERS=>z4<='1';--当sel为8~15中任一值时选中ENDCASE;ENDPROCESS;ENDactiv;一顺序语句1.3CASE语句【例2】SIGNALvalue:INTEGERRANGE0TO15;SIGNALout1:STD_LOGIC;...CASEvalueIS--缺少以WHEN引导的条件句ENDCASE;...CASEvalueISWHEN0=>out

5、1<='1';--value2~15的值未包括进去WHEN1=>out1<='0';ENDCASE...CASEvalueISWHEN0TO10=>out1<='1';--选择值中5~10的值有重叠WHEN5TO15=>out1<='0';ENDCASE;【例3】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYaluISPORT(a,b:INSTD_LOGIC_VECTOR(7DOWNTO0);opcode:INSTD_LOGIC_VECTOR(1DO

6、WNTO0);result:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDalu;ARCHITECTUREbehaveOFaluISCONSTANTplus:STD_LOGIC_VECTOR(1DOWNTO0):=b"00";CONSTANTminus:STD_LOGIC_VECTOR(1DOWNTO0):=b"01";CONSTANTequal:STD_LOGIC_VECTOR(1DOWNTO0):=b"10";CONSTANTnot_equal:STD_LOGIC_VECTOR(1DOWNTO0):=b"11";BEGINPRO

7、CESS(opcode,a,b)BEGINCASEopcodeISWHENplus=>result<=a+b;--a、b相加WHENminus=>result<=a-b;--a、b相减WHENequal=>--a、b相等IF(a=b)THENresult<=x"01";ELSEresult<=x"00";ENDIF;WHENnot_equal=>--a、b不相等IF(a/=b)THENresult<=x"01";ELSEresult<=x"00";ENDIF;ENDCASE;ENDPROCESS;ENDbehave;一顺序语句1.4LOOP语句(1)单个

8、LOOP语句,其语法格式如下:[LOOP标号:]LOOP顺序语句ENDLOOP[LOOP标号];...L2:LOOPa:=a+1;EXITL2WHENa>10;--当a大于10时跳出循环ENDLOOPL2;...一顺序语句1.4LOOP语句(2)FOR_LOOP语句,语法格式如下:[LOOP标号:]FOR循环变量,IN循环次数范围LOOP顺序语句ENDLOOP[LOOP标号];一顺序语句1.4LOOP语句【例4】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYp_checkISPORT(a:INSTD_LOGIC

9、_VECTOR(7DOWNTO0);y:OUTSTD_LOGIC);ENDp_c

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。