eda课程设计--数字频率计

eda课程设计--数字频率计

ID:33872553

大小:178.50 KB

页数:15页

时间:2019-03-01

eda课程设计--数字频率计_第1页
eda课程设计--数字频率计_第2页
eda课程设计--数字频率计_第3页
eda课程设计--数字频率计_第4页
eda课程设计--数字频率计_第5页
资源描述:

《eda课程设计--数字频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA《数字频率计》课程设计报告专业:电子信息工程班级:08电信姓名:刘冰学号:F0857114指导教师:任苹年月日14一课程设计目的1)课程设计题:数字频率计2)任务及要求1、设计一个能测量方波信号的频率的频率计。2、测量的频率范围是0~999999Hz。3、结果用十进制数显示。4、按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。3)教学提示1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计

2、数器所记录的结果,就是被测信号的频率。2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。5f=N/T,改变时基信号的周期T,即可得到不同的测频范围。5、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。6、改变量

3、程时,小数点能自动移位。4)设计报告要求1、说明设计作品的功能、特点、应用范围;2、方案对比,确定方案。3、电路工作原理、操作方法;4、编程方法、程序框图及关键程序清单。5、课程设计总结。14  数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字,显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号以及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精度高,显示直观,所以经常要用到数字频率计。二、设计方案论证、结果以及分析1原理图编译成功

4、后其波形图如下:2、信号发生器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityseleisport(clk:instd_logic;14jian:instd_logic_vector(1downto0);oclk:outstd_logic);end;architectures_1ofseleissignalfull:std_logic;signalt:integerrange0to5999999;beginP1:process(jian,t)beginca

5、sejianiswhen"00"=>t<=5999999;--产生时基脉冲1swhen"01"=>t<=599999;--产生时基脉冲100mswhen"10"=>t<=59999;--产生时基脉冲10mswhen"11"=>t<=5999;--产生时基脉冲1mswhenothers=>null;endcase;endprocessP1;P2:process(clk,t)variables:integerrange0to5999999;beginif(clk'eventandclk='1')thenifs

6、;endif;ifs=tthenfull<='1';elsefull<='0';endif;14endprocessP2;P3:process(full)variablec:std_logic;beginiffull'eventandfull='1'thenc:=notc;ifc='1'thenoclk<='1';elseoclk<='0';endif;endif;endprocessP3;end;其仿真波形为:3、测频libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;

7、entitycpisport(clkk:instd_logic;en,rst,load:outstd_logic);end;architecturecp_1ofcpissignaldiv2:std_logic;beginprocess(clkk)begin14if(clkk'eventandclkk='1')thendiv2<=notdiv2;endif;endprocess;process(clkk,div2)beginif(clkk='0'anddiv2='0')thenrst<='1';elserst<='0';endif;endprocess;loa

8、d<=notdiv2;en<=div2;end;其仿

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。