病房呼叫系统报告

病房呼叫系统报告

ID:34071563

大小:302.00 KB

页数:19页

时间:2019-03-03

病房呼叫系统报告_第1页
病房呼叫系统报告_第2页
病房呼叫系统报告_第3页
病房呼叫系统报告_第4页
病房呼叫系统报告_第5页
资源描述:

《病房呼叫系统报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、可编程逻辑器件应用项目报告书项目名称:病房呼叫系统指导老师:龚兰芳姓名:曾锦聪学号:班级:08电子2班目录一、设计要求--------------------------------------------------------------------------------二、设计方案--------------------------------------------------------------------------------三、设计程序----------------------------------

2、----------------------------------------------四、管脚分配---------------------------------------------------------------------------------五、硬件下载实现现象描述-----------------------------------------------------------六、体会与收获------------------------------------------------------

3、-----------------------一、设计要求1.用1~9个开关模拟9个病房的呼叫输入信号,1号优先级最高;1~9优先级依次降低;2.用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。二、设计方案用层次化的设计,将功能分为三个部分,第一部分输入模块,用来输入八个病房的房号。第二部分输出模块,用来显示八个病房的房号。第三部分显示模块,使优

4、先级低的病房房号用彩灯显示出来。三、设计程序第一部分:抢答模块libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityjnbisport(clk,i:instd_logic;u,r,t,y:instd_logic;led3:outstd_logic_vector(3downto0);k:outstd_logic_vector(2downto0);o:outstd_logic_

5、vector(3downto0));end;architectureoneofjnbissignaln:integerrange0to1;signalclk_1k,clk_100h:std_logic;signaldata:std_logic_vector(3downto0);signals:std_logic_vector(6downto0);signalh:std_logic_vector(2downto0);signalj:std_logic_vector(3downto0);beginprocess(clk)vari

6、ablecnt1:integerrange0to250;variablecnt2:integerrange0to100;beginifclk'eventandclk='1'thenifcnt1=250thencnt1:=0;ifcnt2=100thencnt2:=0;clk_1k<=notclk_1k;elsecnt2:=cnt2+1;endif;elsecnt1:=cnt1+1;endif;endif;endprocess;process(clk_1k)beginifi='1'thenifn=0thenifu='0'the

7、nled3<="0001";j<="0111";n<=n+1;elsifr='0'thenled3<="0010";j<="1011";n<=n+1;elsift='0'thenled3<="0011";j<="1101";n<=n+1;elsify='0'thenled3<="0100";j<="1110";n<=n+1;endif;endif;elseh<="100";n<=0;led3<="0000";j<="1111";endif;k<=h;o<=j;endprocess;end;第二部分:数值输入模块library

8、ieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityWERisport(rst,clk,tsr,en:instd_logic;p:outstd_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。