vhdl的多功能数字钟的设计new

vhdl的多功能数字钟的设计new

ID:34629975

大小:209.15 KB

页数:3页

时间:2019-03-08

vhdl的多功能数字钟的设计new_第1页
vhdl的多功能数字钟的设计new_第2页
vhdl的多功能数字钟的设计new_第3页
资源描述:

《vhdl的多功能数字钟的设计new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3期工矿自动化No.32006年6月IndustryandMineAutomationJun.2006文章编号:1671-251X(2006)03-0092-03基于VHDL的多功能数字钟的设计樊永宁‘,张晓丽“(1.宁夏煤业集团有限责任公司,宁夏银川750000;2.西安科技大学通信与信息工程学院,陕西西安710054)摘要:介绍了利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUS11开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。仿真和验证结果表明,该设计方法切实可行,具有一定的借鉴性。关

2、键词:数字钟;硬件描述语言;VHDL;MAX+PLUSll中图分类号:TP39文献标识码:B言设计一个具有年、月、日、时、分、秒计时显示、调整0引言时间和整点报时功能的数字钟,并且利用MAX十随着电子设计自动化(EDA)的高速发展,电子PLUSH开发环境进行编译、仿真,最终下载到可编系统的设计技术和工具发生了深刻的变化。EDA程逻辑器件FPGA上进行验证。的关键技术之一是要求用形式化方式来描述数字系1设计原理统的硬件电路,即要用所谓硬件描述语言来描述硬件电路。本文即介绍如何利用VHDL硬件描述语数字钟电路原理框图如图1所示。图1数字钟电

3、路原理框图收稿日期:2006-03-302006年第3期樊永宁等:基于VHDL的多功能数字钟的设计数字钟电路由秒、分、时、日、月、年6个计数模mon[4..0],再定义两个信号量tempy0[1..0]和块和1个报警模块、1个时间数据动态扫描模块、tempyl,将year[7..0]的第。位和第1位赋给tem-1个显示译码模块组成。py0,第4位赋给tempyl,即tempy0等于年个位的设置计数年份从2000年到2099年,即年计数后2位,tempyl等于年十位的最后1位。经推算可模块是1个100进制计数器。秒计数模块的计数时知,在2

4、000年到2099年间的闰年如表1所示。钟elk为1Hz的标准信号。当数字钟处于正常计表12000年到2099年间的闰年表时状态时,秒计数器的进位输出信号enmin作为分000408121690卜,j钟模块的计数信号,分计数模块的进位输出enhour2024曰0目36连八sQ作为小时模块的计数信号,依次,时进位输出en-6乙4044﹃56day,日进位输出enmon,月进位输出enyear,分别作6872为日、月、年的计数信号。定义一个手动脉冲输人8084889296set。当set=0时,数码管显示时、分、秒;当set=1时,数码管显

5、示年、月、日。6个数码管在位选信号由于年份都带有20,所以省略20,用年份数来CS的控制下进行动态扫描。表示。将表1中的年份数用二进制表示即可得到以数字钟除了正常计时外,还能够调整时间。在下规律:当年个位的后2位为“00”且年十位的最后本例中,通过手动调节setmin,sethour,setday,set-1位为“0",或者年个位的后2位为“01”且年十位的mon,setyear5个输人量的高低电平而分别实现对最后1位为“1"的情况时,该年为闰年,否则为平年。分时日月年的调整。闰年时,2月有29天,平年2月则为28天;1,3,5,7,8

6、,10,12该7个月为31天,其余各月为30天。2功能模块设计所以计数要分28天、29天、30天、31天4种情况讨本例中的数字钟由上述9个模块组成。设计论。VHDL程序实现如下:时,首先用VHDL语言编写各个功能模块,分别在if(mon="00010")thenMAX+PLUSII开发环境下编译、仿真,然后再用顶if((tempyl=‘0’andtempy0="00")or(tem-层文件将各功能模块连接起来。以下介绍各模块的pyl=‘1'andtempy0="10"))then设计方法和技巧。if(count<"110000")the

7、n2.1秒、分、时、月、年计数模块if(count="101001")then...分计数模块都是60进制的加法计数器,时、月、elsif(count="101000")then...年计数模块分别是24进制、12进制、100进制计数elsif((mon="00001")or(mon="00011")or器。与一般的标准计数器不同的是秒、分、时、月计(mon="00101")or(mon="00111”)or(mon=数模块中分别添加了调节分、小时、日和年的功能,"01000”)or(mon=“10000”)or(m=“10010”))

8、即当clk的上升沿到来时,分别调节setmin,then..’sethour,setday,setyear的高低电平,就可达到调整if(count="110001")then...分、小时、日和年的目的。以

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。