eda技术实用教程eda大学课程方案设计报告

eda技术实用教程eda大学课程方案设计报告

ID:34987212

大小:3.73 MB

页数:55页

时间:2019-03-15

eda技术实用教程eda大学课程方案设计报告_第1页
eda技术实用教程eda大学课程方案设计报告_第2页
eda技术实用教程eda大学课程方案设计报告_第3页
eda技术实用教程eda大学课程方案设计报告_第4页
eda技术实用教程eda大学课程方案设计报告_第5页
资源描述:

《eda技术实用教程eda大学课程方案设计报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计报告课程:EDA技术实用教程学院:电子与信息工程学院班级:姓名:学号:教师:完成日期:2013.01.025555目录实验一、3-8译码器地仿真5文档收集自网络,仅用于个人学习实验二、2选一多路选择器8文档收集自网络,仅用于个人学习实验三、十进制计数器10文档收集自网络,仅用于个人学习实验四、四选一多路选择器14文档收集自网络,仅用于个人学习实验五、ADC0809采样状态机20文档收集自网络,仅用于个人学习实验六、11010011序列检测23文档收集自网络,仅用于个人学习实验七、两个8

2、位乘8位地有符号数乘法器25文档收集自网络,仅用于个人学习实验八、全加器27文档收集自网络,仅用于个人学习实验九、LPM_COUNTER计数模块29文档收集自网络,仅用于个人学习实验十、LPM_COUNTER计数模块例化31文档收集自网络,仅用于个人学习实验十一、LPM随机存储器地设置和调用33文档收集自网络,仅用于个人学习实验十二、LPM_ROM地定制和使36文档收集自网络,仅用于个人学习实验十三、FIFO定制38文档收集自网络,仅用于个人学习实验十四、LPM嵌入式锁相环调用39文档收集自网络,仅

3、用于个人学习实验十五、NCO核数控振荡器使用方法40文档收集自网络,仅用于个人学习实验十六、使用IPCORE设计FIR滤波器42文档收集自网络,仅用于个人学习实验十七、数字时钟43文档收集自网络,仅用于个人学习实验十八、交通灯47文档收集自网络,仅用于个人学习5555实验一、3-8译码器地仿真一:实验名称:3-8译码器仿真二:实验要求:熟悉对max+plusⅡ10.0地使用,并且能简单地使用进行3-8译码器地仿真和论证.三:实验步骤:1:使用max+plusⅡ10.0软件,设计3-8译码器地实验原理

4、图如下所示:图1实验原理图2:波形地仿真与分析启动max+plusⅡ10.0Waveformeditor菜单,进入波形编辑窗口,选择欲仿真地所有IO管脚.如下图所示:文档收集自网络,仅用于个人学习55图2波形编辑为输入端口添加激励波形,使用时钟信号.选择初始电平为“0”,时钟周期倍数为“1”.添加完后,波形图如下所示:文档收集自网络,仅用于个人学习图3添加激励后地波形打开max+plusⅡ10.0Simulator菜单,确定仿真时间,单击Start开始仿真,如下图所示:文档收集自网络,仅用于个

5、人学习55图4仿真过程图5仿真结果四:实验结论:使用max+plusⅡ10.0能很好地完成很多电路地仿真与工作.55实验二、2选一多路选择器一、原理图设计输入法图一2选1多路选择器结构体图二电路编译结果图三波形仿真由波形图可知:当a、b两个输入口分别输入不同频率信号时,针对选通控制端s上所加地不同电平,输出端y将有对应不同信号输出.例如当s为低电平时,y口输出了来自a端地较高频率地时钟信号;反之,即当s为高电平时,y口输出了来自b端地较低频率地时钟信号.文档收集自网络,仅用于个人学习二、文本设计输入

6、(VHDL)法55图四2选1多路选择器(VHDL)图五2选1多路选择器(VHDL)波形图图六2选1多路选择器(VHDL)引脚分布图55实验三、十进制计数器一、VHDL程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_UNSIGNED.all;entityCNT10isport(CLK,RST,EN,LOAD:INSTD_LOGIC;DATA:INSTD_LOGIC_VECTOR(3DOwNTO0);DOUT:outstd_logic

7、_vector(3DOWNTO0);COUT:OUTSTD_LOGIC);ENdentityCNT10;ARCHITECTUREbehavofCNT10ISBEGINPROCESS(CLK,RST,EN,LOAD)variableQ:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFRST='0'THENQ:=(OTHERS=>'0');ELSIFCLK'EVENTANDCLK='1'THENIFEN='1'THENIF(LOAD='0')THENQ:=DATA;ELSEIFQ<9

8、THENQ:=Q+1;ELSEQ:=(OTHERS=>'0');ENDIF;ENDIF;ENDIF;ENDIF;IFQ="1001"THENCOUT<='1';elseCOUT<='0';ENDIF;55DOUT<=Q;ENDPROCESS;ENDbehav;它是一个带有异步复位和同步加载功能地十进制加法计数器.二、编译报告CompilationReport_flowsumamySimulationRepoet_simutlaionwaveformcnt10.vwf5

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。