西安电子科技大学eda实验报告

西安电子科技大学eda实验报告

ID:35246192

大小:273.74 KB

页数:12页

时间:2019-03-22

西安电子科技大学eda实验报告_第1页
西安电子科技大学eda实验报告_第2页
西安电子科技大学eda实验报告_第3页
西安电子科技大学eda实验报告_第4页
西安电子科技大学eda实验报告_第5页
资源描述:

《西安电子科技大学eda实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、EDA大作业及实验报告11实验一:QUARTUSⅡ软件使用及组合电路设计仿真实验目的:学习QUARTUSⅡ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容;实验内容:1.四选一多路选择器的设计首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。步骤:(1)建立工作库文件夹和编辑设计文件;(2)创建工程;(3)编译前设置;(4)全程编译;(5)时序仿真;(6)应用RTL电路图观测器(可选择)实验程序如下:LIBRARYIEEE;USEIEE

2、E.STD_LOGIC_1164.ALL;ENTITYmux41ISPORT(S10:INSTD_LOGIC_VECTOR(1DOWNTO0);A,B,C,D:INSTD_LOGIC;Q:OUTSTD_LOGIC);ENDENTITYmux41;ARCHITECTUREbhvOFmux41ISBEGINPROCESS(A,B,C,D,S10)BEGINIFS10="00"THENQ<=A;ELSIFS10="01"THENQ<=B;ELSIFS10="10"THENQ<=C;ELSEQ<=D;ENDIF;ENDPROCES

3、S;ENDbhv;波形仿真如图:11其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。其RTL电路图为:2.七段译码器程序设计仿真2.1原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16

4、进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010"时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。实验图1数码管及其电路2.2实验内容:参考后面的七段译码器程序,在QUARTUSII上对以下程序进行

5、编辑、编译、综11合、适配、仿真,给出其所有信号的时序仿真波形。试验程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDecL7SISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);LED7S:OUTSTD_LOGIC_VECTOR(6DOWNTO0));END;ARCHITECTUREoneOFDecL7SISBEGINPROCESS(A)BEGINCASEA(3DOWNTO0)ISWHEN"0000"=>LED7S<="1000000";--X“

6、80”->0WHEN"0001"=>LED7S<="1111001";--X“79”->1WHEN"0010"=>LED7S<="0100100";--X“24”->2WHEN"0011"=>LED7S<="0110000";--X“30”->3WHEN"0100"=>LED7S<="0011001";--X“19”->4WHEN"0101"=>LED7S<="0010010";--X“12”->5WHEN"0110"=>LED7S<="0000010";--X“02”->6WHEN"0111"=>LED7S<="1111

7、000";--X“78”->7WHEN"1000"=>LED7S<="0000000";--X“00”->8WHEN"1001"=>LED7S<="0010000";--X“10”->9WHEN"1010"=>LED7S<="0001000";--X“08”->AWHEN"1011"=>LED7S<="0000011";--X“03”->BWHEN"1100"=>LED7S<="1000110";--X“46”->CWHEN"1101"=>LED7S<="0100001";--X“21”->DWHEN"1110"=>LED

8、7S<="0000110";--X“06”->EWHEN"1111"=>LED7S<="0001110";--X“0E”->FWHENOTHERS=>NULL;ENDCASE;ENDPROCESS;END;波形仿真如图:如图,当输入端A依次输入0-15的四位二进制码时,输出端依次输出(0-9及A-F)的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。